随笔 - 6, 文章 - 0, 评论 - 13, 引用 - 0
数据加载中……

▆◣全球最新最全的CAD/CAE/CAM/CFD/GIS/CFX/EDA等软件超级共享(第二部分)

▆◣全球最新最全的CAD/CAE/CAM/CFD/GIS/CFX/EDA等软件超级共享(第二部分)

1.注册机制做,一次制作无限使用.
2.快速制作各种软硬件加密,各类加密狗破解、微狗破解
3.承接加密狗复制服务,提供加密狗改版服务,包括增加模块、增加网络节点、修改版本标识等等

--------------------------------------------------------------------------------
公司服务电话:51695201、51695202 51069446
  
公司客服邮箱: crack2008@eyou.com  (咨询软件请直接通过EMAIL或则电话联系我公司)
-------------------------------------------------------------------------------- 
清华斯维尔产品:
清华斯维尔TH-Arch v2006
清华斯维尔三维计量 2004
 
HHK GEOgraf CAD v3.0e 1258 WinALL 1CD(一款CAD软件,用于绘制位置图、面积计算和绘制建筑草图)
HHK GEOgraf Info v3.0d 1CD
HHK GEOgraf ViewerPRO v3.0d 1CD
 
AMSES Frame2D v 2.0.2.Build.2.0.0.289(结构分析)
 
BCAD v3.9.723 PC versions 1CD(PC版本,为工程师,设计家和建筑家们设计的,用来进行计算机绘画,3维建模和现实可视化的集成工具)
BCAD For Tablet PC Versions v3.9.723 WinXP 1CD(此版本是Tablet PC版本)
 
CADRE.Pro.v5.0.1.14 1CD(结构分析软件)
CUBUS Suite v4.0-ISO 1CD(土木工程应用软件,多语言版)
 
ECOTECT v5.20(b) 1CD(环境模拟分析软件,可用于建筑能源效率的研究)
Frame.Shape.v1.06 1CD(功能强大的工程应用软件,静态线形分析三维框架的装配构架,适用于土木工程师、建筑师、设计师和学生)
LisCAD v6.2 Multianguage 1CD(土地测量和工程学软件,具有坐标几何和GPS等其他功能。与AutoCAD dwg,
                              MicroStation dgn , LandXML 等格式相兼容)
PS2000 R5.0 1CD(门式钢架轻型房屋设计CAD系统)
Scipio B-2D v2003 1CD(一款静态2D框架结构分析工具,主要用于估算平面的弹性形变和内应力。
                     该软件提供GUI图形界面接口并提供多种编辑2维梁结构的工具)
Square ONE Ecotect v5.2B-ISO  1CD(完全的独一无二的建筑物分析软件)
ConCrete Test Report System v4.0.0089 1CD(帮助材料检测机构管理其混凝土检测实验室的软件)
Tekton.v2.4.0.4-ISO  1CD(可以用你想要的方式完成绘图,实时监视实体建模的每一个过程,有新的物体、帧和家具库)
SFCAD2000A 1CD(空间网架设计软件,简体中文)
SFCAD 2000 使用说明
 
ETU.Wasser.Plus.v1.006.G (饮用水规划CAD)
 
清华结构TUS2002
同济钢结构MTS 5.5 1CD
浙江大学网架计算 MST2005 1CD(完全解密版本)
浙江大学网架计算 MST2005说明书
MstCAD2004 1CD(空间网格结构设计软件)
MorGain 结构快速设计 2004.15.R1162 1CD
 
Fides DV产品(基于土质技术和钢设计目的市政工程分析应用系列软件):
Fides.July.2005.BiLingual-ISO 1CD
软件包括:
       ------〉FIDES WALLS
       ------〉FIDES WALLS Dimensioning
       ------〉FIDES WALLS FEA
       ------〉FIDES EarthPressure
       ------〉FIDES Flow
       ------〉FIDES SlipCircle
       ------〉FIDES BearingCapacity
       ------〉FIDES KEA
       ------〉FIDES Settlement
       ------〉FIDES Settlement2.5D
       ------〉FIDES PILEpro FEM
       ------〉FIDES WinIGEL Plus
       ------〉FIDES WinTUBE
       ------〉FIDES STeelCON
       ------〉FIDES TWIST
       ------〉FIDES Angular Retainment Wall
       ------〉FIDES GeoPlanning
       ------〉FIDES SteelMEMB
Fides.ARW.v2005.329.Bilingual 1CD( 基于土质技术和钢设计目的市政工程分析应用的工程工具)
Fides.BearingCapacity.v2005.329.Bilingual 1CD(承受能力计算)
Fides.EarthPressure.v2005.329.Bilingual 1CD(土地压力系数计算)
Fides.Flow.v2006.019.Bilingual 1CD(基于水文分析技术的工程工具,双语种版本)
Fides.GeoPlanning.v2004.313.Bilingual 1CD
Fides.KEA.v2006.023.Bilingual 1CD
Fides.PILEpro.FEM.v2005.329.Bilingual 1CD
Fides PILEpro v2004.105(基于土质技术和钢设计目的市政工程分析应用的工程工具,双语种版本)
Fides.Settlement.v2005.328.Bilingual 1CD
Fides.Settlement2.5D.v2005.329.Bilingual 1CD
Fides.Steel.Members.v2004.244.Bilingual 1CD(钢筋焊接和铆接的计算和设计工具)
Fides.Steelcon.v2006.038.Bilingual 1CD(钢筋焊接和铆接的计算和设计工具)
Fides.SlipCircle.v2005.329.Bilingual 1CD
Fides.TWIST.v2005.321.Bilingual 1CD
Fides.WALLS.v2005.354.Bilingual 1CD(根据EAB, EAU, DIN 1045,DIN 4124标准的进行墙体设计。双语种版本)
Fides.Walls.Dimensioning.v2005.241.Bilingual 1CD
Fides.Walls.FEA.v2005.328.Bilingual 1CD
Fides.WinIGEL.3D.v2005.329.Bilingual 1CD
Fides.WinTUBE.v2005.331.Bilingual 1CD
 
DLUBAL产品:
Dlubal Rstab v5.14.321 Bilingual-ISO  1CD(3D结构分析与计算软件包,支持平面及空间结构的应力,支撑力,变形计算等等)
Dlubal.Rfem.v2.01.102.Bilingual-ISO 1CD(用以分析由平板、叶片、墙壁等构成的二维和三维结构
                                         中变形、内应力、支撑力和接触面压强的FEM程序)
RAM产品:
RAM.Structural.Syatem.v8.13-ISO 1CD(结构设计,提供了功能强大的自动化交互式工具)
 
SOFTTECH产品:
SoftTech Struds v2005 1CD(结构化CAD分析和设计软件,它提供了集成的建模,分析,设计和作图环境)
 
Sigma Design产品:
ARRIS.v8.3.111 1CD(能够帮助建筑设计师和专业人员提高工作效率和节省工作时间的软件,全新的专业软件)
 
Imbsen产品:
Imbsen WinRECOL v4.0.1 1CD(钢筋混凝土柱分析和设计软件)
Imbsen.WinSEISAB.v5.0.7 1CD(桥的地震分析软件)
 
Graphisoft产品:
Graphisoft ArchiCAD v9.0.R1 International Working-ISO 2CD(国际版,世界顶级建筑设计软件)
Archicad.v9.Build.2172.Multilanguage.Upgrade.Only.WinXP 1CD
GraphiSoft ArchiCAD v9.0 Student.Edition.With.Training.Guide.WINMAC-ISO 1CD(MAC版本)
GraphiSoft ArchiGlazing for ArchiCAD v9.0 1CD(能够让建筑师们在自己的设计当中包括和添加一些窗户样式的设计)
GraphiSoft DuctWork for ArchiCAD v9.0 1CD(ARCHICAD V9.0的插件,使用户能快速高效率地进行HVAC建模)
Cadimage.Tools.v9r2.and.Library.Plugins.For.ArchiCAD.9 1CD(ARCHICAD 9插件集合)
Cigraph Factory 2005 for ArchiCAD v9.0-ISO 1CD  
AV-Works v2.1 for ArchiCAD 1CD(第一款完全集成到ArchiCAD里面的光线跟踪和渲染引擎。基于著名的Art .lantis 技术,
                               以其惊人的渲染速度和质量而闻名。现在提供了一个内置于ArchiCAD 8.1 的精巧的快速
                               的可视工具,可以用于项目的每个阶段,带来前所未有的真实性)
Graphisoft Archiglazing v1.7 for ArchiCAD 8.1(一款ArchiCAD 8的附件。能够让建筑师们在自己的
                                              设计当中包括和添加一些窗户样式的设计)
GraphiSoft DuctWork v1.2 for ArchiCAD v8.1 Hybrid-ISO 1CD(一款ArchiCAD 8的附件。能够让建筑师们在
                                                          自己的设计当中包括和添加一些窗户样式的设计)
MaxonForm.v9.103.For.ArchiCAD-iSO 1CD(虚拟建筑软件,MaxonFormTM与ArchiCAD之间的无缝整合,顺应了当今
                                       建筑界最显著的潮流--有机体建筑设计)
Bizprac ToolBox Pro v5.08 1CD(一款最好的建筑工业软件。ToolBox软件能够为澳大利亚建筑工业提供强大的和
                              用户友好的商业解决方案,节省你的时间和金钱)
EliteCAD.Architektur.v10.1.incl.SP1.Multilingual 1CD
3rd. PlanIt v7.10.06  1CD (一款先进的轨道设计辅助工具,在三维环境下方便的
                           进行设计,纯粹是设计铁路线路和布置管线)
GSTool.v3.1.276.Bilingual 1CD(为实现BPM而设计的建筑学方面的工具)
Pcv 5.0 for Win98 单机 1CD
Sandia.Software.Cadrail.v8.02 1CD(道路设计软件,通过本软件你可以自由的画出铁路、建筑等)
 
天正建筑6.5全系列-ISO 1CD
天正给排水7.0 1CD
天正给排水6.0 1CD
天正电气6.0 1CD
天正电气7.0 1CD
天正暖通6.0 1CD
天正市政7.0 1CD
天正结构6.1单机版 1CD
天正插件6.5 1CD
 
博超电气设计EES2000 1CD
 
理正给排水CAD v6.51
基础及桩基CAD 2003 SATWE接口补丁
理正给排水、设备6.5
理正工具箱4.51
理正基础CAD 2.3
理正桩基CAD 2.7
理正基坑4.3
理正岩土计算4.01(所有模块都好用)
理正岩土4.0说明书
土方工程量计算 HTCAD v4.2 1CD
 
结构软件Tssd 3.0 for AutoCAD2005 单机版
结构软件Tssd 3.0 for AutoCAD2005 网络版 1CD
结构软件TSSD 2.8 for AutoCAD2005 单机版 1CD
结构软件TSSD 2.8 for AutoCAD2004 网络版 1CD
结构软件TSSD 2.8 for AutoCAD2002 网络版 1CD
结构软件Tssd 钢结构 1.5 单机版 1CD
TSSD水工结构设计1.0 for R14 & AutoCAD2002 1CD
结构软件Tssd 3.0 说明书
结构软件TSSD 2.7中文使用说明书
神机妙算20.03 破解版 1CD
 
鹏业软件:
    公路概预算 1CD
    市政维修 1CD
    预算通四川市政 1CD
广联达:
    广联达-安装工程概预算软件 GAZ99
    广联达-工程概预算软件 GBG99
    广联达-工程造价管理系统 GBJ v8.0
    广联达-图形自动计算工程量软件GCL99
    广联达-钢筋统计软件GGJ v8.0
    广联达-钢筋统计软件GJ2000
    广联达-工程量清单整体解决方案GGJ v9.0274
    广联达-工程造价系列软件GBG v2.105
广厦建筑结构CAD10.0 1CD
广厦CAD 10.0说明书(中文)
 
桥梁博士 1CD
桥梁通6.2(软件) 1CD
桥梁大师forCADD2002 1CD
线路大师forCADD2002 1CD
海地20040604 1CD
超级涵洞shcd2003xp 1CD
涵洞设计PVC 5.0 for Win98 破解版 1CD
桥梁三维造型系统 Bridge3D 2.0 1CD
 
PFCAD 2004 1CD
PFCAD v2.0 1CD(桩基础设计软件,对桩作竖向抗压承载力和抗拔承载力的验算,并对桩身作配筋设计, 以保证具有足够的结构强度)
桩基CAD2.7
浩辰Icad2003i 1CD
围岩稳定分析软件BMP2000 1CD
 
Bid Bridge v2000 for AutoCAD 1CD
Bid Road v2000 for AutoCAD R14 1CD
DiCAD Pro 单机版(R2002)
道路与立交EICAD1.0单机版  1CD
工程地质勘察CAD6.1版 1CD
鸿业给排水8.0A 1CD
鸿业市政道路5.0 R15 1CD
纬地道路辅助设计系统 v5.0 1CD
鸿业市政道路4.0专业版 1CD
 
Garden.Organizer.Deluxe.v2.4.WinALL 1CD(园艺管理软件)
图圣园林设计系统TSCAD4.01加强版 1CD
规划园林设计软件HCAD V3.6 1CD
园林绿化CAD LSI2000 1CD
LZX规划设计系统 v4.020 1CD
飞时达规划软件GPCAD V7.0 1CD
幕墙专业版5W2003 v5.0cjm 1CD
豪沃克幕墙CAD工具包 1CD
 
建模大师AutoModel v2.0  1CD
鲁班钢筋(Steel) v5.72 1CD
鲁班算量 v6.6 1CD
CASS v5.1 1CD(地形、地籍、工程应用各方面都有许多改进,内带教学和用户手册)
MST模型转成SFCAD软件  1CD
鸿业给排水6.0(R14) 1CD
武汉恒通挡墙3.0 1CD

-+电子电路+-
~~~~~~~~~~~~
Flomerics产品:
Flomerics flotherm v5.1 1CD(电子电器设备空气流和热传导分析的专用CFD软件)
Flomerics Flotherm v4.2 1CD
Flomerics FloPCB v2.1 1CD(为优化印刷电路板热设计提供了一种跨专业的设计环境)
 
软件包括:
---->FLOTHERM - 核心热仿真模块
---->COMMAND CENTER - 优化设计模块
---->FLOMOTION - 仿真结果后处理模块
---->FLO/MCAD - CAD软件接口模块,完全支持PRO/E、CATIA、UG、I-DEAS和AutoCAD等建立的三维几何实体模型
---->FLO/EDA - 电子电路设计软件(EDA)接口模块,完全支持CADENCE,MENTOR GRAPHIC,ZUKEN等EDA软件
---->FLOPACK - 基于WEB页面的IC封装热模型建立模块
 
InnovEDA产品:
InnovEDA.E-Sim.v4.1 1CD
InnovEDA.FabFactory.7.0 1CD
InnovEDA PowerPCB Suite v4.0 1CD
InnovEDA.Visual.HDL.v6.7.8.for.Veril 1CD
InnovEDA.Visual.HDL.v6.7.8.for.VHDL 1CD
InnovEDA.Visual.IP.v4.4.1 1CD
 
CAM350 v9.0.1 1CD(提供了从PCB设计到生产制程的完整流程,从PCB设计数据到成功的PCB生产的转化将变得高效和简化)
 
Baas Electronics产品:
Layo1.PCB.Design.Pro.v10.0 1CD(设计和制造电子测量和控制设备等机械制图专业软件)
 
MiG GmbH & Co.产品:
WASP-NET.V5.4.3-ISO 1CD(微波和孔口天线设计方面的快速准确的计算机辅助设计和优化软件)
 
GraphiCode产品:
GC-PowerStation.v5.2.2 1CD(即以前的GC-CAM 4.14的高版本,印刷电路板设计与制造CAD工具软件)
 
Etap产品:
Etap.PowerStation.v4.0-ISO 1CD(电气专业设计、分析软件)
 
PowerSIM产品:
PSIM v6.0 1CD(仿真PowerPC指令集的模拟器)
PSIM Plus v5.0 网络版 1CD
Powersim Studio 2005 v6.00.3372.6 1CD
 
AGILENT产品:
Agilent 89600 Series Vector Signal Analyzer 3.01a-ISO 1CD
Agilent.IC-Cap.v2002 1CD
Agilent.Testexec.SL.v5 1CD
Agilent.VEE.Pro.v7.5-ISO 1CD(是为测试程序开发者提供的一种高效、简便易用的图形编程环境)
Agilent.VEE.Pro.v7.5.Addon 1CD (帮助文件)
Agilent.Hfss.v5.6-ISO 1CD(专业 3D 高频系统全波电磁场模拟软件)
Agilent.89600.Series.Vector.Signal.Analyzer v3.01a-ISO 1CD
Agilent.ADS.2005A-ISO 2CD(最新版本,英文版电子设计自动化软件) 
Agilent.Advanced.Design.System(ADS).v2004A-ISO 2CD
Agilent.Advanced.Design.System(ADS) 中文教程
Ommic ED02AH Libary v2.6 for ADS 2002 1CD
 
ANSOFT产品:
Ansoft HfSS v10.0 1CD(HF/RM/EM,世界上第一个商业化的三维结构电磁场仿真软件。可分析仿真任意三维无源结构的高频电磁场,
                     可直接得到特征阻抗、传播常数、S参数及电磁场、辐射场、天线方向图等结果。该软件广泛应用于无线和
                     有线通信、计算机、卫星、雷达、半导体和微波集成电路、航空航天等领域,以帮助客户设计世界一流的产品)
Ansoft MaxWell EM v10-ISO 1CD
Ansoft Maxwell 3D v10-ISO 1CD
Ansoft MaxWell v9.0-ISO 1CD(包括Maxwell 2D & 3D v9.0)
Ansoft MaxWell 2D v8.0 3D v6.0-ISO 1CD
 
Ansoft Designer and Nexxim v2.2.0-ISO 1CD(Nexxim是针对射频/数模混合集成电路以及高性能信号完整性等
                                          领域应用领域的新一代产品,Nexxim与Ansoft Designer集成,
                                          能够和系统及平面电磁场工具协同仿真。还可以结合Ansoft的全波
                                          三维电磁场工具 HFSS™、准静态法寄生参数提取工具Q3D,从而组成
                                          最完善的RF/AMS电路设计解决方案
Ansoft Designer v9.0 HFSS 1CD
 
Ansoft Ephysics v1.0 1CD(Maxwell的一个插件,包括各种学科的分析环境,专为设计高性能 的电磁组件的工程师设计)
Ansoft Ensemble v8.0 1CD
Ansoft Link 3.0-ISO  1CD
Ansoft OpTimetrics v 2.5-ISO 1CD
Ansoft Pexprt v5.0-ISO 1CD(一种使用方便全图形界面的电磁设备设计软件,可帮助优化和加速汽车、
                           飞机、个人通信设备中的电气系统所使用的变压器、电感器和磁性元件的设计)
Ansoft.Q3DExtractor.v6.0-ISO 1CD
Ansoft Rmxprt v5.0-ISO 1CD(电力电子电磁器件设计、分析和优化工具)
Ansoft SCap v5.5 1CD(Ansoft HFSS V9.1的图示捕获工具)
Ansoft.Serenade.Densign.Environment.v8.71 1CD(射频微波、电路设计软件)
Ansoft.SIWave.3.0-ISO 1CD(全新的信号完整性仿真工具,特别适合于对高速PCB板和复杂的IC封装进行信号完整性分析)
Ansoft Simplorer v6.0 2CD(强大的多领域复杂系统仿真软件包,包含机电元件、电子线路、控制算法在内的
                          系统仿真和多种仿真算法,对机电驱动系统和电力电子系统仿真分析,适合于进行
                          汽车电子、机电、电力电子和传动等领域的仿真)
Ansoft Spicelink v5.0-ISO 1CD(通用信号完整性电磁场仿真工具)
Ansoft Max&Eph traning-ISO 1CD(教程)
Ansoft HFSS v10 用户手册(英文)
Ansoft Hfss 中文培训教程
Ansoft Hfss v9.0 培训影像
Ansoft Hfss v9.2 完全教程
Ansoft HFSS v9使用技巧
Ansoft HFSSv9边界条件和激励设置培训
Ansoft Hfss 9.2 用户指南
Ansoft Hfss 8.0 中文培训教程
Ansoft Maxwell 官方手册(英文)
Ansoft Rmxprt application 1CD
 
AVANT!/SYNOPSYS产品:
Actel.Designer.v7.0 1CD(专业的FPGA器件开发软件,是一个能使FPGA的系统性能提高15%的布局工具)
 
Synopsys.Common.Licensing(Scl) v1.2 for WinNT 1CD
Synopsys FpGA Compiler II v3.8 1CD
Synopsys.FPGA_Express.V3.6.1.6817.Winall 1CD
Synopsys.FPGA.Express.Xilinx.Edition.V3.6.1 1CD
Synopsys ISE TCAD v10.0 Linux 2CD(半导体元件制造与模拟软件)
Synopsys.Mempro.V2001.11.For.NT 1CD
Synopsys.nanosim.v2004.12 SP1 Linux 1CD
Synopsys PrimeTime 2000.05-1 for winNT 1CD(静态时序分析工具)
Synopsys.PTS.vX.v2005.12 for Linux 1CD
Synopsys.Saber.v2004.06.SP1-ISO  1CD(不同的工程领域--水利、电气、电子及机械等进行
                                     物理作用仿真的软件,也可作为信号流算法软件)
Synopsys Hspice W-2005.03 1CD(高精确度的模拟反电路仿真软件)
Hspice2004.09 Sp1 1CD(高精确度的模拟电路仿真软件)
Hspice 2005.09 英文用户手册
Hspice 语法手册
Synopsys Star-HSpice v2004.09 1CD(电路仿真软件)
Synopsys Vera v6.3.10 for linux & solaris 1CD
Synopsys.VCS.V6.0.1.WinNT_2k 1CD
Synopsys Vcs v7.1.2 for linux & solaris 1CD
 
Synplicity v5.22 1CD(是VHDL/VerilogHDL综合软件)
Synplicity Amplify v3.7 1CD(第一款为FPGA设计的物理综合产品)
Synplify ASIC v5.02 for win & linux & sun & unix 1CD
SynpliCity Certify v6.4 1CD
SynpliCity Identify RTL Debugger v2.0.1 1CD
Synplicity Synplify Premier DP v8.4 1CD
Synplicity Synplify Pro v8.0 1CD(针对复杂可编程逻辑设计的功能强大的FPGA 综合工具,独有的特性和极快的运算速
                                 度使它成为业界的最流行的也是最强力的综合工具,而且还附加了调试于优化功能)
Synopsys.2001.08.Core.Synthesis.for.linux 1CD
Synopsys.Common.Licensing(Scl) v1.2 for Linux 1CD
Synopsys.VCS.v6.1 linux 1CD
Synopsys.TetraMax.v2001.08.for.Linux 1CD
 
Tanner产品:
Tanner.L-EDIT.pro.with.LVS.v10.0-ISO  1CD(IC设计验证系统软件)
Tanner.S-EDIT.v7.03 1CD(电路框架的制作和编辑工具)
Tanner.T-SPICE.Pro.v8.1(大规模模拟和混合信号IC的精确高效分析模拟软件)
 
AMTECH产品:
AMTECH.ProDesign.NEC.v9.2.5-ISO 1CD(符合NEC及IEEEE标准设计与分析电子系统的功能强大的软件系统)
 
CIM-TEAM Inc.产品:
CIM-Team.E3.Series.v2006-ISO 1CD(电子电路绘图软件,是由电子工程和电缆跳线工具组成,提供电路图表,跳线和
                      电缆心线设计的全面解决方案。包括了:E3 Schematic、E3 Panel、E3 View、E3 CABLE)
VANDERPLAATS R&D产品:
Valor Genesis2000 v8.0a WinNT4_2K 1CD
Valor GeneSIS 2000 中文教程
 
Valor Enterprise 3000 v7.2.4 1CD(是为 OEMs 和 PCB 设计者开发的DFM软件。其实际上是一个虚拟的生产系统,
                                 可以让OEM厂商模拟整个生产过程:从设计到生产的整个流程。可以优化设计,
                                 减少修改次数,让你从快速的市场反应中获益,并且提高产品质量)
Valor EnterPrise 3000 v7.2.4 Docs Addon 1CD
 
CADENAS产品:
Cadenas PARTsolutions v8.0.23.Multilanguage-ISO  1CD(专业 CAD/CAM 绘图元件目录整合管理)
Cadence.BSIMProPlus.v5.1 1CD(提供了全套的解决方案,包括采用Virtuoso器件模型(BSIMProPlus)来提取
                             和调整硅的可靠性模型以及用UltraSim全芯片模拟器进行的全芯片可靠性模拟和分析)
Cadence.OrCAD.Suite.with.Pspice.10.5-ISO 2CD(电子电路设计软件) 
Cadence.OrCAD.Unison.Suite.Pro.v10.3-ISO 1CD
Cadence OrCAD Capture CIS 9 实用教程 1CD
Cadence OrCAD  问题集锦 1CD
 
Allegro SPB 15.5-ISO 4CD
SPB v15.5 Update 1CD
 
Cadence PSD 15.1-ISO 3CD(EDA开发工具包,它提供了从原理图设计输入、分析,PCB设计、PCB制造文件输出等一整套工具)
Cadence SPB v15.2-ISO 3CD
Cadence.PCB.Design.Studio.v15.1-ISO 3CD(专业的电路板的设计软件,适合于一个全新项目的PCB 设计)
Cadence.Allegro.Silicon.Package.Board.v15.5.1-ISO 4CD(新一代的协同设计方法,以便建立跨越整个设计链
                                                 --包括I/O缓冲区、IC、封装及PCB设计人员的合作关系)
Cadence.LDV.v5.1 1CD(逻辑设计与验证)
Cadence.Logic.Design.and.VerifiCation.v5.1-ISO 1CD(自动化电子设计英文正式版)
Cadence.Allegro.v13.6-ISO 1CD(系统互联设计平台,此平台具有缩短PCB设计周期, 显著提高生产效率的特点)
Cadence CONFRML v5.0 Base 1CD
Allegro 14.2 中文教材
Cadence Allegro简易手册(中文版)
Cadence 使用参考手册(中文版)
CADence PCB设计中文教程
 
Accelerated.Designs.UltraLibrarian.Gold.2.0.82 1CD
Cadence.Specctra.Router.v10.1.1 1CD(功能强大的PCB无网格自动布线器)
Cadence.Specman.Elite.v5.0.Linux-ISO 1CD
Pspice v9.2 1CD
Pspice 使用指南(中文)
NucleusUDB.v4.3(强大的、基于GUI的嵌入式应用源码级调试器,具有标准的内部开发结构,适用于Nucleus软件部件支持的大多数处理器)
Rimu.PCB.v1.07.WinALL 1CD(行业印刷电路板(PCB)的设计软件)
 
Intusoft产品:
ICAP/4 8.1.6 Windows v8.1.6 1CD(电源仿真软件)
 
Aegis产品:
Aegis.CircuitCAM.Suite.v5.0  1CD(一个高端成熟的电路板生产CAM软件,生产建模程序)
 
Aucotec产品:
ELCAD/AUCOPLAN v7.1.0-ISO 1CD(ECAE系统,电子工程软件)
 
Altium产品:
Altium Designer v6.0 for WinAll-ISO 2CD
Protel DXP Altium v7.0 WinNT2000XP-ISO  1CD
Protel Dxp 2004 sp2-ISO( 完全安装版)
Protel DXP 2004 Sp4 1CD
Protel DXP 2004 Sp4 IntegratedLibraries 1CD
Protel DXP 2004 Sp3 集成库 1CD
Protel DXP 2004汉化及工具
Protel 98-ISO 1CD (简体中文破解版)
Protel 99SE Sp6 1CD(简体中文版,含第二版)
Protel 99 正式版 1CD
Protel 99 SE 的入门说明书(中文版)
 
Protel DXP Chinese
Protel DXP Fpgalibraries 1CD
Protel DXP Trial Version 1CD
Protel DXP 电路设计及应用教程
Protel DXP 培训教材(中文)
 
P-CAD v2002-ISO 1CD
P-CAD v2002 SP1 1CD(一款快速和有效地进行印制线路板设计地软件。此处是此软件地sp1软件包)
 
Remcom产品:
XFDTD 6.0.6.3(美国REMCOM公司开发的一款基于电磁数值计算方法FDTD的全波三维电磁仿真软件)
 
CST产品:
CST Microwave Studio v5.1.3-ISO 1CD
CST Microwave Studio 5.0 Sp2 1CD
CST Design Studio v3.0 1CD(与CST Microwave Studio配套使用的设计数据/流程管理工具,用来支持与其他工具
                           交换数据以及分割设计数据和程序库化等作业)
CST Em Studio v2.0 1CD(一款全新的,易用的静态与低频结构的分析与设计工具,其中包括信号源,
                       电磁兼容性分析,测量工具,显示器,屏蔽模块)
CST Mafia v4.1 1CD
 
APLAC SOLUTIONS产品:
PCschematic 7.0 正式破解中文版 1CD(电气绘图软件)
PCschematic (施耐德)元件库
PCschematic 完整教程
PL7 Pro v4.4 1CD
 
IAR产品:
IAR.EW430.320A 1CD(嵌入式工作台)
IAR.Embedded.Workbench.for.68HC12.v2.44A
IAR.Embedded.Workbench.for.ARM.v4.31A
IAR.Embedded.Workbench.for.Atmel.AVR.v4.12A
IAR.Embedded.Workbench.for.Atmel.AVR32.v2.10A
IAR.Embedded.Workbench.for.Atmel.EWAVR.v3.20C.Full
IAR.Embedded.Workbench.for.Arm.Ewarm.v3.11A-ISO
IAR.Embedded.Workbench.for.CR16C.v2.10A
IAR.Embedded.Workbench.for.Dallas.Semiconductor.Maxim.MAXQ.v1.13C
IAR.Embedded.Workbench.for.Dspic.v1.10-ISO
IAR.Embedded.Workbench.for.H8.v1.53I
IAR.Embedded.Workbench.for.MCS-51.v6.10A
IAR.Embedded.Workbench.for.Mitsubishi.740.v2.16A
IAR.Embedded.Workbench.for.MSP430.v3.21A
IAR.Embedded.Workbench.for.NEC.v850.v2.31A
IAR.Embedded.Workbench.for.NEC.78K.v4.30A
IAR.Embedded.Workbench.for.PIC18.v2.12A
IAR.Embedded.Workbench.for.PICmicro.v2.21A
IAR.Embedded.Workbench.for.Renesas.M16C.and.R8C.v2.12A
IAR.Embedded.Workbench.for.Samsung.SAM8.v2.21A.FULL
IAR.Embedded.Workbench.for.TI.MSP430.v3.40A 
IAR.Embedded.Workbench.for.TI.MSP430.EW430.v3.10A.FULL
IAR.Embedded.Workbench.for.ZiLOG.eZ80.v1.34A
IAR Embedded Workbench Limited Edition for 6502
IAR.MakeApp.for.Buletooch.Protocol.Stack.Embedded.Edition.v1.40A.FULL
IAR.Embedded.Workbench.AVR.v2.27B 
IAR VisualState v5.2.1.132 1CD(图形化状态机设计工具, 它能为嵌入式系统产生非常紧凑的c代码)
 
RA产品:
Rowley.Associates.CrossWorks.for.ARM.v1.5.Build.2 1CD
Rowley.Associates.CrossWorks.for.AVR.v1.3.Build.1 1CD
Rowley.Associates.CrossWorks.for.MSP430.v1.3.Build.3 1CD
 
NASSDA CORP.产品:
Nassda.Critic.v5.0.01.2005 1CD(一款EDA工具,这是Windows版本)
Nassda.Critic.v5.0.01.2005.Linux 1CD(这是Linux版本)
Nassda.Hanex.v5.0.01.2005 1CD(业界领先的电路级时序与串扰分析工具,这是Windows版本)
Nassda.Hanex.v5.0.01.2005.Linux 1CD(这是Linux版本)
Nassda.Hsim.v5.0.01.2005 1CD(全球第一个满足深亚微米设计需求的全电路、层次化的晶体管级仿真器,这是Windows版本)
Nassda.Hsim.v5.0.01.2005.Linux 1CD(这是Linux版本)
 
TimingDesigner.v8.002 1CD(交互式时序分析和图表工具)
 
Precience产品:
Precience PCB Navigator 5 Build 0004 1CD
Precience PCB Navigator v4.01 1CD
 
SCHMID & PARTNER ENGINEERING AG产品:
SemCAD v1.8 1CD(SEMCAD 为复杂环境的近场分析提供了高端电磁模拟平台,可为电磁场的IIS/IT'IS 研究提供支持和帮助,
                同时可帮助在芯片级的EMC/EMI和EM 模拟,天线设计等,SEMCAD用户界面友好、强大(基于ACIS3D模型工具包)
 
Syncopation.Software产品:
DPL.Fault.Tree.v6.03.02 1CD(人工智能分枝决策树技术应用软件,核电站的安全保证分析,
                            卫星发射装置的可靠性分析, 计算机网络的安全性分析等)
DPL.Professional.v6.03.02 1CD(人工智能分枝决策树技术应用软件,增加更多的功能与分析模块, 如策略树建模, 时间系列分析等)
 
VSI产品:
VisSim.v6.0 1CD(可为复杂的控制系统、通讯系统进行建模仿真,可为DSP及嵌入式系统进行样机原型快速开发)
VisSim.C-Code.v6.0 1CD(自动将 VisSim 的简图翻译成被高度优化的符合 ANSI 的 C 语言标准的程序源代码)
VisSim.Comm.v6.0 1CD(卫星、终端等的通信分析软件)
VisSim.Embedded.Controls.Developer.v6.0 1CD(为速成原型法和内嵌控制系统提供一个开发环境)
VisSim.ECD.for.TI.C2000.v5.0e.Win9xNT2K 1CD(用于TI C2000系列DSP快速样机开发,它可以为TI公司的DSP
                                             家族中的C2000系列快速开发运动控制系统板的样机原型)
VisSim.Neural-Net.v6.0 1CD(优势在于非线性系统的识别方面,问题的诊断,决策系统,预测系统,和其他的的一些特殊环境)
VisSim.Real-TimePRO.v6.0 1CD(提供给用户依靠“实”处理器或者控制器,直接连接 VisSim 系统模型)
 
单片机软件:
Dolphin Smash v5.62 1CD(法国DOLPHIN Integration公司的混合信号兼顾多层次模拟软件,能完全符合混合类比与逻辑信号电路的需求)
Dolphin Smash v5.62 Linux 1CD
Dolphin.Smash.v5.6.2.Solaris 1CD
Dolphin Smash v5.62 for Windows NT 1CD
 
Dolphin SocGDS v5.6 1CD(DOLPHIN集成公司所开发的SoC GDS(System-on-Chip Graphic Display Streamer)
                         片上系统(电子设计)图形显示虚拟工具,它通过芯片代工和EDA(电子设计自动化)提供
                         完整的、用户能进行自定义层/数据类型图表绘制的即插即用功能。特点:创建虚拟接口(
                         Virtual Socket)--SoC GDS合并了创建终极接口架构、处理和检查能力的主要功能;
                         虚拟电子显微镜(Virtual Electronic Microscope)--在设计中使信号传播形象化;
                         虚拟测试与诊断(Virtual Test & Diagnostic)--快速精确定位设计缺点和硅元件真正缺陷)
Dolphin SocGDS v5.6 for HP-UX 1CD
Dolphin SocGDS v5.6 for Linux 1CD
Dolphin SocGDS v5.6 for Windows NT 1CD
 
HDL.Companion.v2.0.R1 1CD(用来获得对你的HDL设计的一个很好的总揽,并将其保持的一个独一无二的工具)
HDL Entry Ease v6.0 R7 1CD(集成电路芯片设计工具)
HDL Entry Ease v6.0 R7 Linux 1CD
HDL.Entry.Ease.v6.0.R5.SOLARIS 1CD
 
Aldec.Active.HDL.v6.3 1CD(ALDEC公司的Active-HDL是一个开放型的仿真工具)
Aldec.Active.HDL.v6.3.Sp1.Update(最新升级包) 1CD
Aldec.Active.HDL.v6.3.VERILOG.Libaraies.Addon 1CD
Aldec.Active.HDL.v6.3.VHDL.Libaraies.Addon 1CD
Aldec.Active.HDL.v6.3.Xilinx.Schemetic.Libaraies.Addon 1CD
 
Aldec.Riviera.v2004.08.1533 1CD
 
CodeWarrior HC08 v3.0 1CD
CVAVR v1.24.1e 1CD
DebugFactory Builder for AM1 Starter KIT松下单片机 1CD
FastAVR v4.0 1CD(以BASIC语言为基础的AVR开发平台)
FranklinC51 1CD(51单片机C语言开发环境)
ImageCraft.ICCAVR.Professional.v6.31a 1CD(编译器)
 
Keil C51 v8.01 1CD
Keil C51 v7.50a 完全破解版
Keil Software -Cx51 编译器用户手册 中文完整版(403页)
Keil uVision2软件中文入门教程
 
Matcom v4.5 1CD
Mplab.C18.v3.0 1CD(单片机开发软件)
Metrowerks Codewarrior for DSP56800 v5.02 1CD
Oshon.Software.8085.Simulator.IDE.v2.42(微处理器的讲学和开发者工具)
Oshon.Software.PIC.Simulator.IDE.v5.92
Oshon.Software.PIC18.Simulator.IDE.v1.52
Oshon.Software.Z80.Simulator.IDE.v9.42(模拟集成开发环境,针对 Zilog Z80 8-位微处理器) 
Proteus v6.7 FULL Sp2 + Sp3-ISO 1CD(电路分析实物仿真系统)
PCWH v3.227 1CD
 
GX configuator-DP Ver.500 1CD
GX Developer v 8.0 1CD
三菱PLC编程软件
 
Metrowerks产品:
CodeWarrior Development Studio v9.3 1CD
CodeWarrior Development Studio v9.3 Addon 1CD
 
Atomistix A/S产品:
Atomistix.Toolkit.v2.0 1CD(用于"虚拟纳米实验室"复杂材料结构的建模与仿真分析)
Virtual.NanoLab.v1.1.1 1CD(奈米组件电性仿真软件)
 
Tektronix产品:
WaveStar.v2.6 1CD(示波器WaveStar软件(WSTRO)是简便的PC与TDS3000B系列直接的接口软件)
 
CoWare, Inc.产品:
CoWare SPW 5-XP 1CD(主要进行以下两点改进。第一是与美国The MathWorks, Inc.的技术运算编程
                                         及解析环境“MATLAB”互联,第二是全面更新GUI(图形用户界面))
 
ADI产品:
Visual DSP v3.50-ISO  1CD(美国模拟器件公司(ADI)发布的DSP开发工具)
Visual DSP.PlusPlus.v3.5.for.16.bit.WinALL 1CD
 
QNX产品:
QNX.Momentics.Development.Suite.Professional.Edition.v6.3-ISO 1CD
QNX Momentics Professional v6.2.1a-ISO 1CD
QNX.Neutrino8.v6.2.1.NC-ISO 1CD
QNX.Realtime.Platform.v6.10-ISO 1CD
 
ZUKEN产品:
Zuken.CadStar.Desktop.Design.v8.0 1CD
Zuken CADStar v7.0 1CD(CAD之星,Zuken的基于窗口形式的桌面解决方案,是世界上性价比最高的基于PC的PCB设计
                        解决方案之一,它包括逻辑图输入(电路图输入),布置,轨迹,创建和管理库,信号整合,EMC
                        分析以及制造数据的成果.它还具有如下特性:具有130,000个组件的网上可访问库,3D设计
                        工具,自动并且高速的线路选择,变量管理和信号整合管理与鉴别)
Zuken.CADStar.v5.0-ISO 1CD
Zuken.CADStar.v5.0.SP5 1CD
Zuken CADStar 中文培训手册
 
Zuken.CR5000.Board.Designer.v7.0.1-ISO 1CD
Zuken.CR5000.System.Designer.v7.0.1R2 1CD
 
Zuken CR5000 v6.0 full 2CD
Zuken CR5000 中文教程
 
Zuken.Hotstage v4.21 1CD
Zuken Hot-Stage v4.03 WinNT 1CD
 
美国AWR产品:
MicroWaveOffice v2.5 1CD(雷达设计软件)
 
Denali产品:
Denali.Memory.Modeler.v2.9.24.WINNT 1CD(存储器模型程序)
 
加拿大曼尼托巴HVDC研究中心产品:
ARM Developer Suite 1.2 1CD
ARM.Firmware.Suite.v1.4-ISO 1CD
ARM.RealView.Developer.Suite(RDS).v2.2-ISO 1CD(ARM集成开发工具)
ARM Software Development Toolkit v 2.51 1CD
ARM结构和编程(中文书)
 
Apsim 2003 1CD
CDEGS v2000-ISO 1CD(电磁干扰计算软件包)
CircuitMaker 2000 1CD(仿真继电器的软件)
 
PSCAD v4.02 用户手册(英文版)
PSCAD v4.0.2-ISO  1CD(一个快速、灵活和准确的电器设备和电力系统的电磁暂态仿真专业软件)
                      ·可以发现系统中断路器操作、故障及雷击时出现的过电压
                      ·可对包含复杂非线性元件(如直流输电设备)的大型电力系统进行全三相的精确模拟,
                        其输入、输出界面非常直观、方便
                      ·进行电力系统时域或频域计算仿真
                      ·电力系统谐波分析及电力电子领域的仿真计算
                      ·实现高压直流输电、FACTS控制器的设计
IMST.Empire.v4.12-ISO  1CD(采用FDTD的全三维高频电磁场仿真软件包)
 
Modelsim 培训手册 1CD
Modelsim SE v6.1c WinALL & Linux & Solars-ISO 1CD(业界最优秀的HDL语言仿真器,它提供最友好的调试环境,
                                                  是唯一的单内核支持VHDL和Verilog混合仿真的仿真器。
                                                  是作FPGA/ASIC设计的RTL级和门级电路仿真的首选,它采
                                                  用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,
                                                  编译仿真速度快,编译的代码与平台无关,便于保护IP核,个
                                                  性化的图形界面和用户接口,为用户加快调错提供强有力的手段)
Elanix SystemView v5.025 1CD(信号处理系统模拟与分析工具)
EMSight v1.54 1CD(电磁仿真器,可以分析高频,射频和微波以及天线电路的特性。 EMSight还可以分析具有无限多层介质片,
                   无限多个端口,并且介质层之间有互连的过条的任意平面电路)
EWARM-EV v3.40A 1CD
FAISYN v2.2 1CD(一个滤波器设计软件)
Furret.PCB.v2.4 1CD
Gc-powerstion v2.2.2 1CD(加强了测试Netlist的抽取、修改阻焊等功能)
Genesis Frontline v7.1 PCB Designer 1CD
HDL Turbo Writer 6.0e 1CD(VHDL/verilog专用编辑器)
Hamic.v2.0.WinAL 1CD(电路计算器,可以计算电路的电阻,电压等)
LAVENIR v2001 1CD
UC Gui v3.26 1CD
 
ParCAM v8.0c 1CD
ParCAM v7.26d 操作手冊
 
PowerLogic v1.1 1CD
PeakVHDL Professional Edition v4.21a 1CD
MyCAD MyAnal v6.3 1CD(模拟电路设计工具)
MyCAD MyChip 2005 1CD(Layout设计工具)
MyCAD.MyLogic.Station v5.1 1CD (电路图Editor,逻辑Simulator仿真工具)
MyCAD.MyVHDL.v5.1 1CD (VHDLSimulator 仿真工具)
 
Spice Vision v2.1 for WinALL 1CD(一个很流行的通用型积体电路模拟程序,可处理非线性直流,非常线暂态等功能)
Spice Vision v2.1 for LINUX 1CD
 
Xilinx.Chipscope.Pro.v8.1i 1CD(用于Xilinx FPGA的先进的实时调试和验证工具)
Xilinx.EDK.v8.1i 1CD(嵌入式开发套件(EDK)是用于设计嵌入式可编程系统的全面的解决方案)
Xilinx.EDK.v8.1i.SP1 1CD
Xilinx Foundation 4.1i-ISO 1CD
Xilinx System Generaror v3.1 1CD
Xilinx 中文教程
 
Xilinx.ISE.v8.1i.DVD -ISO 3CD
Xilinx ISE v7.1i IP Update3 1CD
Xilinx ISE v7.1i PC Update4 1CD
Xilinx.ISE.v7.1i.Spartan2.VirtexE.Devices 1CD
Xilinx.ISE.v7.1i.Spartan3E.Virtex3E.Devices 1CD
Xilinx.ISE.v7.1i.Linux 1CD
Xilinx.ISE.v7.1i.Linux.X64 1CD
 
Xilinx.Embedded.Development.Kit.v6.3i 1CD
Xilinx.Embedded.Development.Kit.v6.3i.Addon 1CD(帮助文件)
Xilinx.Embedded.Development.Kit.and.XPS.Ver7.1.Incl.Sp2.For.Win32.PROPER-ISO 1CD
Xilinx.Embedded.Development.Kit.and.XPS.Ver7.1.For.Linux-ISO 1CD
 
COSMIC.68332.Compiler.IDEA.and.ZAP.Sim v2.9p 1CD
COSMIC.ST7.Compiler.IDEA.and.ZAP.Sim.v4.5b 1CD(嵌入式系统开发工具包)
 
8051.IDE.v1.20 1CD
AFT Impulse 3.0 1CD
 
Eagleware Genesys 2004.07 Final 1CD(世界领先的射频微波设计软件)
EagleWare Genesys v2003.03 SP3 1CD
Eplan.Professional.v5.5-ISO(电子工程软件)
Filter Wiz Pro v3.0F 1CD(滤波器电路设计软件,很好用!)
通用有源滤波器uaf42配套设计软件FILTER v4.2
 
HyperLynx Simulation Software v4.66 1CD (PCB仿真软件)
HyperLynx Simulation Software v5.01 Update 1CD
 
MetaWare.Arm.v4.5A .Working 1CD
Merco.PCB.Elegance.v2.5 1CD
Metapod.PCB.v2.4 1CD
Modelsim Xilinx Edition II V5.7C 1CD
Nassda.Hsim.v2.0.DateCode.01222003 1CD
nLint2.2 v24 1CD
 
PathLoss.v4.0 1CD(为频率在 30 MHz to 100 GHz之间的无线电通讯的通道设计工具)
PeakVHDL Pro v4.21a 1CD(一个VHDL通用仿真器)
 
PicBasic Pro v2.46 1CD
Picbasic Pro Compiler v2.42 1CD
PicBasic Plus v1.10 1CD
 
PCBNavigator 5.0 B0009 1CD 
Precience.PCBNavigator.v5.0-ISO 1CD
Precience.PCBNavigator.v3.2.0053 1CD
Advanced PCB Design System v2.5 1CD
Pulsonix.Advanced.Electronics.Design.System.v2.0(PCB电路板设计工具)
 
Symphony.EDA.VHDL.Simili.Sonata.Professional.v3.0.12 1CD
SymphonyEDA.VHDL.Simili.v2.0 1CD
Simplis v4.2 1CD
Sigrity SpeedXP Suite v1.0 1CD
Systat.PeakFit.v4.11.WinAll 1CD(处理信号噪声的软件,可以自动分离和分析信号) 
 
Texas.Instruments.OMAP.v2.ISO 1CD
Timing.Designer.Professional.v5.303.WINNT2K 1CD
 
Ultiboard 2001 1CD(multsim2001的PCB工具)
ULTImate Technology Ultiboard v5.72-ISO 1CD
VeriTools.Undertow.v9.0.DateCode.20020408 1CD
Vizimag.v3.14.WinALL 1CD
Workview Office v7.5 1CD
 
Zeland IE3D and Fidelity v9.0(时域有限差分全三维电磁场仿真软件包)
Zeland.Product.Suite.V10 1CD(平面和三维电磁场仿真与优化软件包)
     
Electronics Workbench产品:
Electronics.Workbench.Ultiboard.v9.0.155 1CD(印刷电路板设计工具)
Electronics.Workbench.Ultiroute.for.Ultiboard.v9 1CD(轻松的完成大规模继集成电路,多层PCB板及类似于
                                                      BGA封装模式的多针脚电子元件的设计)
Electronics.Workbench.Multisim.v9.0.155 1CD(适用于模拟/数字线路板的设计)
Electronics.Packaging.Designer.v7.2 1CD(设计复杂的电路板,有多种软件命令,可以使用EPD创建组建放置于你的设计中)
 
Multisim 2001-ISO 1CD(电子电路设计、信号分析)
Multisim 2001增强专业版汉化文件
Multisim 2001简明教程(中文)
 
SuperSpice产品:
SuperSpice v2.2.147 1CD(一款全自动的SPICE软件 )
 
Polar SB200a Professional v6.0 1CD(印刷电路板设计、测试系统)
Polar.SI9000E.Field.Solver.v6.00 1CD(印刷电路板阻抗计算与设计工具)
Polar.SI8000.v5.0 1CD(印刷电路板阻抗计算与设计工具)
Polar.Instruments.Si9000.v6.1 1CD(新的Si9000传输线场解决方案整合了快速精确的无损失和独立于频率的PCB传输线建模)
Polar.SI9000.v6.00 1CD
 
QCAD v29.0 Win9xNT 1CD (全面的电路设计软件,它包括电路图以及PCB(印刷电路板)模块,
                        电路图模块支持简单层次,复杂层次)
TrepCAD St v3.3.1 1CD
 
CAM Expert v2.0.4.8 1CD(QCAD的扩展工具。可以自动生成G-Code, HP/GL以及其它格式)
 
CAMCAD & Translator v4.3.39 1CD
RSI CAMCAD Pro v4.4.024 1CD(CAD/CAM辅助软件,用来进行数据的预处理以及PCB板的设计)
 
Ariel.Performance.Analysis.System.v2002.Rev1 1CD
Circuit.Shop.v2.03.WinALL 1CD(图形化CAD电路设计工具)
FEKO v5.1 1CD(复杂形状三维物体的电磁场分析软件)
Filter Solution v8.12 1CD 1CD
FpgaExpress v3.5.1 Altera Oem 1CD
Holophase.CirCAD.v4.20e(较简单的电路图设计软件)
MEMSCAP.MEMS.Pro.v4.0-ISO  1CD(微机电系统软件,包括编辑、设计规则校验、块放置和布线、3维模型生成和3维可视化)
Microsim Design Center v5.1 1CD
PSPice 8.0完整专业版 1CD(MicroSim 8.0+DesignLab8.0)
SB200.Stackup.Builder.v5.1 1CD(几分钟内即可创造、设计、文档管理复杂的多层电路板)
Polar Instruments SB200 v2.100 1CD(印刷电路板设计、测试系统)
Pulsonix v3.0 1CD(电子电路设计软件)
WinQcad v31.0 Win9x_NT 1CD(一款完善的电子线路设计系统,拥有图式控制平台与PCB(印刷电路板)设计两大模块,二者可单独或合并使用)
 
色环电阻计算软件01 1CD
世界三极管查询系统 V1.5 1CD
 
ALTERA产品:
Altera.Quartus.II.v5.1-ISO 1CD(数字系统设计,是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具)
Altera Quartus II v5.0 Sp2 1CD
Altera.Quartus.II.v5.0.Linux64-ISO 2CD
 
CADSOFT产品:
Cadsoft EAGLE v4.16 Professional Bilingual for Windows 1CD(设计印刷电路板(PCB)的软件)
Cadsoft EAGLE v4.13 Professional Bilingual for Linux 1CD(设计印刷电路板(PCB)的软件)
 
Accel-EDA v15.0 1CD
AdLabPlus.v2.71 1CD(电气工程软件,高级电机工程实验室。拥有20多个特性工具专为设计网络、传输线、变压器等设计)
Adlab.v2.31.WIN9X_NT_2K 1CD(电气工程软件,拥有20多个特性工具专为设计网络、传输线、变压器等设计)
B2.Spice.AD.Professional.v4.2.13 1CD(多模式模拟的电子自动化设计软件,纯数字模拟,快速精确,拥有功能强大的使用界面)
 
Comsystems.Integra.EDA.Tools.v4.0.SE.Pro 1CD(简体中文电路软件)
CSmith.v2.7(一个有许多高级功能的电机工程的制图软件,它包括:内含电气元件,S-参数块和和可利用的晶体元件,
            易于修改、布局、载入及保存电路图,输出S-参数块及完整的电路图等等)
DipTrace.v1.2 1CD(是一套完整的印刷电路板设计系统)
DipTrace v1.0 WinALL 1CD(是一套完整的印刷电路板设计系统)
Dynasim Dymola v5.3D-ISO 1CD(应用在机械,电气等领域的系统动态仿真和交互软件)
Eagle.PCB.v4.09R2-ISO 1CD
MemsCap.Mems.Pro.v4.0-ISO 1CD(微机电系统软件,包括编辑、设计规则校验、块放置和布线、3维模型生成和3维可视化)
Meta Post v3.3.1(带有ANSYS和METApsot的LS-DYNA的前后处理器)
 
MAX+PLUS II v10.2 完全版 1CD(英文版 ·专业数字集成电路设计软件最新版)
MAX+PLUS II v10.23 最新升级补丁
MAX+PLUS II 开发工具包
MAX+PLUS II 中文快速入门
MAX Plus II 教程
 
PSoC.Designer.Incl.C.Compiler.v4.0  1CD(高效能、可现场编程的混合讯号数组,针对消费性、工业、办公室
                                        自动化、电信、以及汽车等应用领域提供可量产的嵌入型控制功能)
Unsorted产品:
Modbus OPC Server v2.7 1CD
ModScan 32 v4.A00-04 1CD
ModSim 32 v4.A00-04 1CD
 
CYME International产品:
Cyme.Cymcap.v4.2.R3 1CD(电力电缆安装载流容量和温升计算的工具)
Cyme.Cymdist.v4.1.R21 1CD(对平衡或者不平衡的三相、两相、单相的电力系统进行分析的工具软件)
Cyme.Cymtcc.v4.4.R8.Multilanguage 1CD(电力系统保护装置协调进行分析与研究的工具软件)
Cyme.Psaf.v2.81.R2.9.Multilanguage 1CD(整合性电力系统分析软体系列,它的基本架构系独立于模拟模组的
                                        型态与数量, 这些家族成员 ( 模拟模组 ) 都共用一个整合的电
                                        网设备资料库。 PSAF 可执行广泛的电力系统分析工作:如,电力
                                        潮流分析、紧急事故分析、电动机启动分析、短路故障分析、暂态
                                        稳定度分析、谐波分析)
CGI CYME产品:
AutoTRAX EDA v3.12 1CD(电子线路板布线设计软件,它提供了实施当今复杂的电子PCB设计应必备的所有高级工具)
CCS 2.2 for C6000-ISO 1CD
CCS for PIC 3.227 1CD
CirMaker v6.2C 1CD(继电器设计软件)
Magnetics Designer v4.1 Build 252 1CD(变压器设计软件)
MyBPA 1.0  1CD
 
Sonnet v7.0c 1CD
Sonnet Suite Pro v9.5.2 1CD(三维高频电子设计)
 
Super.Finsim.v7.0.14 1CD(高性能仿真器)
Super.Finsim.v7.0.14.Linux 1CD
Super.Finsim.v6.2.09.Linux.64Bit 1CD
Super.Finsim.v6.2.09.Solaris.64Bit 1CD
 
Spectrum.MicroCAP.v7.08 1CD
SuperNEC v2.9-ISO 1CD(天线分析,基于MATLAB的输入、输出介面)
SystemView v5.0 1CD(微波和通信)
SynaptiCAD AllProducts v10.20b 1CD(SynaptiCAD公司出品的EDA(电子设计自动化)工具集合,包括HDL输入、
                                   仿真、 测试、波形分析、管脚映射工具等等,是一个很完整的EDA方案)
Synapticad.AllProducts.v10.18e.Linux 1CD
SuperWORKS 网络版 R6.0  1CD(陕西利达电力电子有限责任公司以AutoCAD R14/2002为平台二次开发的专门用于电路图设计的软件)
 
TI.C5000.Code.Composer.Studio.v2.0-ISO 1CD
TI.Code.Composer.Studio.v2.2.for.C6X 1CD
TI.Msp430.KickStart.v3.01 1CD
 
Gaia.v4.2.0.1.MultiLanguage.WinALL 1CD(应用于电力行业的,低压电网计算机辅助设计软件)
Vision.v5.7.3.1.MultiLanguage.WinALL(电力网络高级分析工具,用作输电、配电和工业电网的规划部署、设计和管理)
 
组态王6.5(最新版)-ISO 1CD(无限点破解版)
变压器设计大师2.0 1CD(破解版)
整流变压器功率计算软件 PowerCalc
 
桥梁通7.052
广厦建筑结构系统10.0
组态王6.51
北京灵图VRMap2.x 
铸造模拟软件MAGMAsoft
easy8.2  索膜软件之一
SIEMENS 全系列 
ifix3.5 中文版 
FIX7.0中文版   
INTOUCH9.5版 
INTOUCH9.0版 
INTOUCH8.0版 
组态王6.51
金佳诺 世纪星
三维力控 无限点+DB 
MCGS普通版 网络版 无限点\客户端 
AIMAX 4.2无限点/无限驱动 
citect5.42无限点 
EPLAN 21 电气软件
SUPERWORKS6.0

IDEAS NX V11中文版
化工流程模拟软件 PRO/2
Aspen Plus v12.1
HyperMill V9.0
PRESSCAD 2004 中文版
THINK3 V8.4+K-MOLD V7.1
TransCAD.v4.7 1CD

SACS5.2
jmatpro3.0
Space-E v4.4
北京灵图VRMAP软件
FLAC3D/FLAC2D
SPSS V14统计软件
Magma数字设计软件
ISE TCAD (PC version)
Clementine10数据挖掘工具
铸造模拟软件MAGMAsoft
PTV Vissim、Vissem、Vissum 三合一
CAESAR II 4.50-ISO 1CD
TCAM / TWINCAD V3.2005+NCEDIT V1.5
TCAM / TWINCAD V3.1060+ 线切割软件 +PRESSCAD(冲模设计)
ZEMAX V2005 版
SPEOS 2004 SP1
LightTools V5.1 SR1 正式版
OSLO V6.24 Premium专业版 (可不是LT简易版)
TRACEPRO V3.23 最新 EXPERT 专业版 新增ProE,INVENTOR,CATIA...CAD转文件功能)
ASAP V8.03+Breault.ReflectorCAD.v1.5+Rhino3.0sr2 中文+灯泡参数光盘(非跛脚版2004年光学分析软件)
TFCalc V3.56 真正正式版
Essential Macleod V8.9 光学薄膜设计及分析软件
Optiwave OptiSystem 3.0 (光通讯系统仿真软件)
Optiwave OptiBPM 6.00305 (波导光学模块化软件系统)
Optiwave OptiFDTD 4.0 (光通讯、光子晶体、奈米结构仿真软件)

数值计算库(linpack,lapack,BLAS,GERMS,IMSL,CXML等)
计算化学类(Gaussian98,Spartan,ADF2000,ChemOffice等)
数理统计类(GAUSS,SPSS,SAS, Splus,statistica,minitab等)
绘图软件类(MathCAD,Tecplot,IDL,Surfer,Origin, SmartDraw,DSP2000等)
DHI MIKE系列(包括MIKE BASIN、MIKE 11、MIKE 21、MIKE SHE、MIKE MOUSE等)
数学公式排版类(MathType,MikTeX,Scientific Workplace,Scientific Nootbook等)
数值计算类(Matcom, IDL,DataFit,S-Spline,Lindo,Lingo,O-Matrix,Scilab,Octave等)
有限元计算类(ANSYS, MARC,PARSTRAN, FLUENT, FEMLAB,FlexPDE,Algor,COSMOS, ABAQUS,ADINA等)
矿山、地质和岩土工程类(Micromine, Gemcom, Mincom, Geoquest, SiteView, Geovisual, MineMap,PC-Mine, microLYNX, Vulcan, GeoCAD等)

Cimatron、PowerMill、PowerMill、Tebis
GeoFEAP、Stampack、Madymo、Ansoft、Vericut
Dynasim、Carsim、Easy5、Simplorer、Trucksim
MasterCAM、Cimatron、SurfCAM、DelCAM、UG CAM、PRO/NC
Fluent/CFX、EFD.Lab、CFdesign、MoldFlow、Star-CD、Phoenics
UniGraphics 、、Pro/E、CATIA、AUTODESK、Inventor、Power mill/Shape
MSC.Patran、MSC.Dytran、MSC.ADAMS、MSC.Nastran、MSC.Marc、MSC-Fatigue、EASY5、Actran、AMESim/AMESet
HyperWorks、AutoForm、Cosmos、Deform、下载AutoSEA、MAGMA、PAM-CRASH、CAESAR 、SIMPACK、Virtual.Lab
iSIGHT、FLAC3D/FLAC2D、 NASTRAN 、NE/NASTRAN、下载ALG/NASTRAN、NX/NASTRAN、JMatPro、LS-DYNA、ABAQUS
ALGOR、ADINA、FEMLAB、I-DEAS、Sysnoise、ProCast、下载eta/DYNAFORM、eta/LS-DYNA、eta/VPG、eta/FEMB、AMESim
SACS5.2、LIfeCAD、THINK3+K-MOLD、LabVIEW、abWindows/CVI、下载imageware、geomagic、HyperMill、rapidform的
OSLO、ZEMAX、TracePro、SPEOS、Optiwave、TFCalc、ASAP、macleod、下载LightTools、Code V、Aspen、PRO/2、CASEAR II
Autofilm、AutoForm、Viaccess、DADS、Matlab、Maple、Mathematica、Ease、Easy、下载Vissim、TransCAD、VISUM、VISEM、CADDS 5i、Tribon等

3D3S 8.0 破解版 1CD(除幕墙及塔架,其他模块均好用)
Algor v18 -ISO (中文版) 1CD
Alias.StudioTools.v13 -ISO  1CD
Ansys Emax v8.0-ISO 1CD
Ansys.Multiphysics.v10.0-ISO 1CD
ArtCAM.Pro.and.Jewelsmith.v8.1-ISO 1CD
ASAP v8.0-ISO 1CD
AutoCAD 2006-ISO 1CD
AVL WorksPace Suite v4.0-ISO 1CD
Cam-tool v2.0.3.8 1CD(繁体中文版,简体中文操作系统可用)
Catia v5R16 P2-ISO  2CD
CFDRC v2004-ISO 1CD
ChemOffice Ultra 2005-ISO  1CD
Cimatron E 7.1-ISO  3CD
Cimatron QuickNC v4.0  1CD
CODE V v9.50 1CD
Cosmos/DesignSTAR v4.0 2003105 1CD
Cosmos/Work Suite v2006-ISO 1CD
CSI SAP2000 v9.11(中、英文版) 1CD
EDS FEMAP v9.0.1-ISO  1CD
EDS.Imageware.v12-ISO 1CD
ESI PAM CRASH 2G 2005-ISO 1CD
ETA.VPG.v3.1.WiNNT2K 1CD(完全破解版)
FE-SAFE v5.0-ISO 1CD
FRI Tray Rating 1.0(美国精馏公司开发的化工软件,市面上未见,会员拥有,可用于塔板(筛板, 浮阀)的严格的水力学计算)
HyproTech.HTFS.Software.2001(7模块完全解密版)
HTRI Exchanger Suite v4.0-ISO 1CD
I-Deas NX v11 M2 -ISO 6CD
Intergraph Plant Design System(PDS)v07.00.00.15-ISO 1CD(也就是Intergraph PDS,工厂设计软件)
Intergraph SmartPlant 3D v03.00.15.00-ISO 4CD(工厂设计软件)
Inventor Professional v10.0(简体中文版) 4CD
LensVIEW 2001-ISO 1CD
LightTools.v5.1.incl.SP1-ISO 1CD
LucidShape.v1.2 for WinAll 1CD
MasterCAM.X.v10.0-ISO 1CD
MST2005完美解密版 1CD
OSLO.Premium.v6.34 1CD
PKPM 20051217-ISO 1CD
PowerMILL v6.008 SP3 1CD(含简体中文)
Pro/II v7.1  1CD(PRO/II® 流程模拟程序,广泛地应用于化学过程的严格的质量和能量平衡)
ProCAST 2004.0 1CD
PTC EMX 4.1 M350 1CD
PTC Pro/E WildFire+Pro/Mechancia 3.0 F000 for 2000_XP 3CD
PTC Pro E WildFire v2.0 M190 2006020-ISO 3CD
Smarteam.PDM.v4.0.SP5.5-ISO 3CD 1CD
Solidedge v17-ISO 5CD
SolidWorks 2006-ISO  4CD
Type3 v4.6-ISO  1CD
UG.NX.v4.0.final-ISO  2CD
WorkNC v16.21 -ISO  1CD
Zemax v20050203 with manuals & tutorial 1CD
华铸CAE 8.0
南京宇航YHCNC数控仿真软件 正式版 1CD
上海宇龙数控仿真系统v3.8 20050415  单机版 1CD
ABB Webware SDK v4.6.32 1CD
ABB.Virtual.Irc5.v5.06-ISO 1CD
      LS-Dyna 970.6763 X64 Parallel Single 1CD
     MecSoft VisualTurn v1.0 Retail 1CD
     MSC.Superforge.v2005.MP1-ISO 1CD
 PeriCAD 2006 for Autodesk Architectural Desktop 2006 Addon 1CD
   ProCAD.2D.Designer.v2004.2-ISO 1CD
   ProCAD.3DSmart.v2005.2-ISO 1CD
 SynaptiCAD.AllProducts.v10.20b 1CD
 Xilinx.EDK.v8.1i 1CD
 Xilinx.EDK.v8.1i.SP1 1CD
Autodesk.MotionBuilder.v7.5 1CD
 ChemStat.v6.1.Ansi 1CD
 ChemStat.v6.1.Unicode 1CD
 CNC.Machinist.ToolBox.v8.1.0 1CD
 MSC.MARC.v2005.R3-ISO 1CD
 Promodel v4.2 Full -ISO 1CD
 Rockwell Arena 7.01-ISO 1CD
 Starpoint.MohrView.Unicode.V3.0.0.0 1CD
 压力容器计算软件SW6-1998 v3.0 1CD
Autodesk.Productstream.v5.0-ISO 3CD
 Hampson.Russell.CE.v7.R4.Working.Linux 1CD
AutiCAD.v2007.DVD-ISO 1DVD
Autodesk.Productstream.Explorer.v5.0 1CD
 Super.Finsim.v7.0.14 1CD
 Super.Finsim.v7.0.14.Linux 1CD

MENTOR GRAPHICS系列产品:
Mentor.Graphics.AMS.v2005.SP3-ISO 1CD
Mentor.Graphics.DMS.v2005 1CD(设计链和延伸企业优化设计和资源管理的桥梁)
Mentor.Graphics.Board.Station.Flow.2004.Spac5-DVD 1DVD(電路板系統)
Mentor.Graphics.Expedition.Suite.V2005.DVD-ISO 1DVD(PCB系统设计)
Mentor.Graphics.ePD.2004.Spac2 1CD(电子元器件设计定义与设计管理软件)
Mentor.Graphics.EN.2004.Spac4 1CD(专业的电路设计与分析软件)
Mentor.Graphics.IC.Flow.v2006-ISO 1CD(电路图生成版图工具)
Mentor.Graphics.ICX.TAU.2004.Spac2 1CD(集成电路设计软件)
Mentor.Graphics.IND.v2005 1CD(完整的PCB设计解决方案,包含具有强大功能的布局和模拟工具的概略图定义)
Mentor.Graphics.QE.2004.Spac2 1CD
Mentor.Graphics.SDD.2004.Spac2 1CD
Mentor.Graphics.WG.2004.Spac2 1CD
Mentor.Graphics.ISD.2004.Spac4-ISO 3CD(电子集成开发系统)
Mentor.Graphics.Cam.Output.Manager.v2002.2r3 1CD
Mentor.Graphics.Capital.Capture.v2005.REPACK-ISO 1CD( 原理图编辑工具)
Mentor.Graphics.Design.Capture.2002.v16.2 1CD
Mentor.Graphics.Discovery.Signalvision.V2002.2 1CD
Mentor.Graphics.DMS.v2005-ISO 1CD(工程师在设计的时候可以及时看到bom表的变化,以便以技术和商业的角度选择最经济的元件)
 
Mentor Graphics EN2004 SPac1 1CD(提供了强大的EDA核心工具和技术,通过它整合的系统设计(ISD)策略,解决用户
                                  在设计重新使用、嵌入系统设计和硬件/软件共同设计上的问题)
Mentor Graphics ePD2004 SPac1 1CD(电子元器件设计定义与设计管理软件)
Mentor.Graphics.Edif200.Schematic.Interface.V2002.Spac2 1CD
Mentor.Graphics.Eproduct.Designer.V3.1 1CD
Mentor Graphics Exemplar.Leonardo.Spectrum.v2002a 1CD
 
Mentor.Graphics.FPGA.Advantage.v7.1-ISO 1CD(FPGA全流程设计工具)
Mentor.Graphics.FPGA.Advantage.For.Hdl.Design.V5.4 1CD
Mentor.Graphics.HDL.Designer.v2005.2 1CD(著名的HDL设计软件)
                 --进行RTL 设计,生成FPGA的数百万门电路
                 --创建、管理复杂Verilog、VHDL、多语言ASIC 和FPGA
                 --提供多种格式的文本、表单和图形满足设计任务和设计需求
                 --自动产生设计复审、归档和调用文件的图像和HTML文件
                 --产生高级的静态分析报告和图形模拟调试报告
Mentor.Graphics.HDL.Designer.v2005.2.Linux 1CD           
Mentor.Graphics.Hyperlynx.V7.5-ISO 1CD(高速电子线路模拟软件)
Mentor Graphics Icx/TAU2004 SPac1 V3.4 1CD(集成电路设计软件)
Mentor.Graphics.IO.Designer.v2004.Spac2-ISO 1CD(可编程门阵列(FPGA)和印刷电路板的协作型芯片设计)
 
Mentor.Graphics.Leonardo.Spectrum.v2005b.24 1CD(一款HDL逻辑综合软件)
Mentor.Graphics.Leonardo.Spectrum.v2005a.82.Including.Update1 1CD(一款HDL逻辑综合软件)
Mentor.Graphics.ModelSIM.SE.v6.1e 1CD(VHDL,Verilog和SystemC三种语言的模拟器。兼备高性能与工业高级调试能力)
Mentor.Graphics.Modelsim.SE.v6.1e.Linux 1CD
Mentor Graphics PowerPCB and BlazeRouter 5.0 1CD(印刷电路板布线软件)
Mentor Graphics PowerLogic v5.0 Build 113 1CD
Mentor.Graphics.Precision.RTL.Synthesis.v2005c.99.Including.Update1 1CD(适应FPGA发展的新一代RTL综合器)
Mentor.Graphics.Precision.v2004B-ISO 1CD
Mentor Graphics QE2004 SPac1 1CD(提供了可再使用、知识产权(IP)组成和体系认证解决方案,Mentor Graphics的产品和
                                  服务有助于提高在通讯、计算机、半导体、航空航天、消费者和世界性运输公司的竞争能力)
Mentor Graphics Renoir 99.5 1CD
Mentor Graphics SDD2004 SPac1 1CD(专业的电路设计与分析软件)
Mentor.Graphics.Seamless.FPGA.v5.4.3.0 1CD(用于检测现场可编程逻辑门阵列的软件)
Mentor.Graphics.Variant.Manager.V2002.2r2 1CD
Mentor Graphics VeriBest v2000 1CD
 
Mentor Graphics WG2004 1CD(专业的电路设计与分析软件)
Mentor Graphics WG2004 SPac1 1CD(专业的电路设计与分析软件)
Mentor Graphics WG2004 (用户手册)1CD
 
Mentor Catapult C Syntesis v2004b.8 1CD
Mentor EN入门教程
Mentor EN原版教程
Mentor 原版教材扫描版
Mentor 2000中文教程
 
PADS.v2005.Spac2-ISO
PADS 2005 汉化版 1CD
PADS.PCB.2005.Build 7.1-ISO 1CD
PADS Suite 2004 1CD
PADS v2004 SPac2 1CD
PADS PCB Design Solutions 2004 Build 70.1 1CD
 
ArtWork产品:
ArtWork.Conversion.ASM3500.v6.28 1CD(在AutoCAD的DXF和GDSII双向的转译)
ArtWork.Conversion.ASM600.v6.52 1CD(在GDSII流和Gerber之间双向转换的工具)
ArtWork.Conversion.ASM850.v3.12 1CD
ArtWork.Conversion.ATG-III.v1.05 1CD(通过ATG 将Gerber(电子业之间通用的资料格式)文件顺序生成输入输出检测模版的程序)
ArtWork.Conversion.Gbrip.v6.15 1CD(光栅图像处理软件)
ArtWork.Conversion.ASM.500W.v6.27.DXF.to.Gerber.Translator 1CD(一款DXF到Gerber的转换器)
ArtWork.Conversion.ASM2600.v7.01 1CD(从Electromask and Mann模式的代码生成器中分裂出GDSII数据)
ArtWork.Conversion.ASM550.v1.63.Mentor.to.DXF.Translator 1CD
ArtWork.Conversion.ASM600.V6.43b.GDSII.to.Gerber.PostProcessor 1CD
ArtWork.Conversion.ATG-III.v1.04 1CD
ArtWork.Conversion.GBRComp.v1.11 1CD
ArtWork.Conversion.GB-RIP.II.v6.14.Gerber.to.Raster.Translator 1CD
ArtWork.Conversion.GBRVU.v2.67 1CD
ArtWork.Conversion.GDSFilt.v1.51 1CD
ArtWork.Conversion.GDSPlot.v5.35A 1CD
ArtWork.Conversion.Netex-G.v1.24B 1CD
ArtWork.Conversion.PGSort.v1.34 1CD
ArtWork.Conversion.QCKBool.v1.10 1CD
ArtWork.Conversion.QCKVU.for.Prolith.v2.61 1CD
ArtWork.Conversion.QCKVU.v2.61 1CD
ArtWork.Conversion.QIS.v2.15 1CD
ArtWork.Conversion.STL2GBR.v1.14.STL.to.Gerber.Translator 1CD
 
Symphony.EDA.VHDL.Simili.Sonata.v2.3.10(VHDL编译器和模拟器,硬件设计者辅助软件)
 
TransLogic HDL ComPanion v1.2 R2 1CD
TransLogic HDL ComPanion v1.2 R2 LINUX 1CD
TransLogic HDL ComPanion v1.2 R2 Solaris 1CD
Translogic HDL Entry Ease v5.1R18 1CD (专业电路设计软件)
Translogic HDL Entry Ease and Eale v5.1R9 1CD
Translogic HDL Entry Ease and Eale v4.1.7 Linux 1CD
Translogic Ease v5.2 R10 and Eale v5.2 R8 1CD
 
QuikLogic产品:
QuikLogic.QuickWorks.V9.7 1CD(ESP和FPGA的开发软件)
QuikLogic.QuickWorks.V9.7.DOCS.Addon 1CD
 
NOVAS产品:
Novas.Nlint.v1.1.R9
Novas.Debussy.v5.3 for WinALL(电子设计自动化用的调试排障工具)
Novas.Debussy.v5.2.R15.Linux 1CD
 
Tektronix产品:
WaveStar.v2.6 1CD(无线网络解决方案)
 
WISE产品:
GerbTool v14 1CD(一款PCB设计工具)
GerbTool.v14.0.SR2 1CD
 
Lattice产品:
Lattice ispLevel v5.1-ISO 2CD( 最新版,可编程逻辑电路开发工具)
 
ispLEVER Starter v2.0 1CD
ispLever 培训资料(中文)
 
ispDesignExpert v8.2 1CD
ispDesignExpert 培训教材 (中文)
ispDesignExpert v8.0 用户手册(英文)
 
ispExpert v7.01 1CD
FPGA.Module.for.Lattice.v5.1 1CD
Synplify.for.Lattice.v8.2 1CD 1CD(ispLEVER入门可选组件模块,包括Synplify综合工具的Lattice版本,
                                   可以进行Lattice CPLD 和 FPGA产品HDL设计综合)
SUPCON产品:
SUPCON JX-300X v3.12a-ISO 1CD(集散控制系统)
 
OMRON产品:
CX ONE v1.1 1CD(
CX-Programmer v6.1 1CD
CX-Programmer 软件使用说明书(中文)
CX-Simulator 1.5 1CD
 
OMRON Mptst4.0中文版 1CD
Manual cxp 中文使用手册
 
GC-PowerPlatform产品:
GraphiCode.PowerStation.v4.1.4
 
ManiaBarco产品:
UCam v7.14 1CD
UCam v7.11D 1CD
UCam中文教程
 
PENTALOGIX产品:
CAMMaster v8.4.50 1CD(Gerber文件处理的又一利器)
 
Altium产品:
Altium DXP Suite v2004 Sp2-ISO 1CD(内嵌软件)
 
-+GIS地理信息系统+-
~~~~~~~~~~~~~~~~~~~~
Esri产品:
ArcGIS Desktop v9.1-ISO 1CD
ArcGIS.DeskTop.v9.0 Sp3-ISO 3CD(中、英文 完全安装版)
ArcGIS DeskTop.v9.0 SP3 中文汉化文件 1CD
ArcGIS Desktop v9.0-ISO 3CD(桌面版)
ArcGIS v9.0 工作站版-ISO 3CD
ArcGIS.Server.9.0.Pre-release.for.Windows 1CD
ArcGis Workstation v9.0 1CD
ArcGIS v9.0中文环境(安装汉化之前要求安装ArcGIS Desktop Sp1)
ArcGIS v8 中文简明教程
ArcGIS 中文教程
ArcGIS 二次开发(MO)
  
ArcView Image Analyst v1.0 1CD
ArcView Internet Map Server v1.0 1CD
ArcView Internet Map Server v1.0 1CD
ArcView Network Analyst v1.0a 1CD
ArcView Spacial Analyst v2.0 1CD
arcview Tracking Analyst v1.0 1CD
ArcView 3D Analyst v1.0 1CD
ArcView v3.3 1CD
ArcView 培训资料
 
ArcIMS v9.0 1CD
ArcIMS v4.0.1 for Win2000_XP 1CD
UsingArcIMS3.1(英文教程)
ArcIMS 讲座(中文)
ArcIMS性能优化和调整(中文)
 
ArcInfo.WorkStation.v9.0-ISO 3CD
 
Active Map v2000 1CD
ArcPad v6.0 1CD
ArcReader v9.0 1CD
 
ArcSDE v9.0 1CD
ArcSDE v8.3 C 开发包
ArcSDE 管理员手册
 
DeltaGIS.Project.Edition.v5.0.0.0.Multilingual 1CD(地块管理软件)
Global.Mapper.v7.03 1CD(浏览、合成、输入、输出大部分流行的扫描点阵图、等高线、矢量数据集的软件)
TerrainCAD.v1.0.1 1CD(地形生成、编辑和建模工具)
TNTmips.v2006.72 1CD(广泛应用于地理信息系统(GIS)分析,图象处理,CAD,点子地图等领域的2维平面和3维立体地貌显示)
WiseImage.Pro.Geo.Edition.v7.0.WiN32 1CD(测绘及与GIS相关行业设计的一款软件)
 
MapObjects JAVA standard edition v1.0 for Windows 1CD
MapObjects.v2.3  1CD(一组共开发人员使用的制图和GIS功能组件)
MapObjects 参考手册
MapObjects 中文指导
MapObjects 培训教程(pdg格式)
MapObjects 应用开发
Getting Started with MapObjects 2.1 in Visual C++(教材)
ArcMap 中文教程
 
MultiGen-Paradigm产品:
Multigen Creator 2.5.1-ISO 1CD
 
Inpho产品:
Inpho.Match-T.v4.0 1CD(自动空中三角测量软件。带有INPHO独有的高级图像处理算法)
Inpho.Scop.Plus.Plus.v5.3 1CD(用来对任意大小的 DTM(数字地面模型)项目进行处理的工具)
 
PCI产品:
PCI.Geomatica.v10.0-ISO 1CD(PCI Geomatica软件是加拿大PCI公司开发的用于摄影测量分析、遥感影像处理、
                            几何制图、GIS分析、雷达数据分析、以及资源管理和环境监测的多功能软件系统)
   LEICA GEOSYSTEMS产品:
ERDAS Imagine v9.0-ISO 1CD(美国Leica公司开发的遥感图像处理系统)
ERDAS.Imagine.v8.7.With.LPS.V8.7-ISO 6CD(空间影像处理软件)
ERDAS.Stereo.Analyst.v1.0(立体分析模块)
 
RSI产品:
Research.Systems.IDL v6.0 1CD
Research.Systems.Envi v4.2 1CD(一套功能齐全的遥感图像处理系统,是处理、分析并显示
                               多光谱数据、高光谱数据和雷达数据的高级工具)
Research.Systems.Envi 用户指南(中文)
 
S&T Virtual Reality Systems产品:
Blueberry.3D.Terrain.Tools.V1.0-ISO 2CD(三维地形编辑器)
 
Nelava产品:
Socet SET 5.2-ISO 1CD(数字摄影测量软件,主要提供於军事用途和商务方面,
                      藉由它可轻易得到地球上任一地方精确的座标位置)
Blue Marble Geographics产品:
BlueMarble.Geographic.Tracker.v3.3 1CD(将图形中的象素和现实世界具体位置的坐标对应映射联系起来)
BlueMarble.Geographic.Transformer.Plugin.For.MapInfo.v1.0 1CD(为MapInfo开发的插件)
 
BOEING产品:
GIS.Feature.Collection.Module.v1.2.for.Boeing.SoftPlotter.v4.1-ISO 1CD( 数字摄影测量实用系统模块)
SoftPlotter.v4.1.with.Airfiled-ISO 1CD(数字摄影测量实用系统)
 
Nobeltec产品:
GPS CAD Transfer v1.0 1CD (GPS辅助工具,可以将Magellan GPS路点转换成AutoCAD格式)
GPS Tools SDK v2.11b  1CD
GPS NET Visualization Tools v1.3 1CD
GPS.Lab.Professional.v1.0.WinALL 1CD
GPS 全球定位系统及其应用
 
Curious.SoftWare.World.Maps.v5.5K-ISO 5CD(最快速、最通用和最经济的制作高质量地图和地理学动画的工具,用于TV和视频行业)
Curious World Maps v6.1B Update 1CD
Curious SoftWare World Maps v5.5K UpDate 1CD(最新升级包)
 
GeoSystem.Delta.v5.0-ISO 1CD(地球物理/CAD.定位软件)
Global Tracks 2003 v6.11 1CD(一个分析飓风走向和路线的软件,是一个全球范围跟踪,预测飓风情报的软件)
 
Alturion GPS European Maps v5.2-ISO  3CD
ER Mapper v6.4-ISO 1CD(在142个国家使用,全球有514家销售商提供支持,世界上最流行的桌面集成化图像处理软件)
FME.Suite.v2004.ICE.3 1CD(通用的跨GIS软件平台数据转换工具,可以进行快速、无损的数据转换)
FreeWorld3D 1CD(交互式的三维立体地形地貌生成和编辑软件)
Fledermaus.Pro.v6.2.0a 1CD
GroundMap.v1.3.8.102.WinALL 1CD(通过GroundMap你可以将气象卫星图片与地图整合起来)
Infograph InfoCAD v6.08c BiLingual 1CD(InFoCAD是一个完整的地理信息系统软件)
InterGraph Geomedia Web Enterprise v4.00 22 1CD
Lizardtech Geoexpress v4.0-ISO 1CD(空间影像压缩软件,藉由革命性的高压缩比/无失真小波转换
                                      (Wavelet Transform)为主的多分辨率编码方式,可以将大容
                                   量空间影像(例如航空照片、高分辨率卫星影像、资源卫星影像等)
                                   压缩成只有5%原始大小的MsSID档案,而能维持其高分辨率及影像质量)
MapBasic v6.0 1CD(在MapInfo平台上开发用户定制的应用程序的编程语言)
MapInfo.MapX.v5.02 1CD
MapInfo.Mapx.Mobile.v5.0-ISO 1CD
MapInfo MapXtreme v3.00 1CD
MapInfo.MapXtreme.2004.V6.0.0.75 1CD
MapInfo.MapXtreme.Java.Edition v4.5 1CD
MapInfo.Professional.v8.0 1CD(强大的基于Windows平台的地图化信息解决方案)
MapInfo Professional v7.8 1CD(中文汉化版)
Mapinfo Line Style Editor v2.0
 
Surfer8.0 汉化注册版 1CD(地质工作者必备的专业成图软件)
Surfer8.0 初学者中文参考手册
 
Kork Digital Mapping System v14.0-ISO 1CD(地理信息工程)
KineMAP Digital MAP SoftWare v5.0 1CD(数字地图制作工具,将光栅、矢量和海拔数据生成动态地图)
WinTopo-Pro.v2.52 1CD(光栅(raster image)转换成向量(vector)图象的工具)
 
IMAGIS v2.3+中文使用手册(三维可视地理信息系统)
IMSTutoria(英文教程)
 
Interpex.IXRefrax.v1.0(一个整合的地震波分析软件,功能多样)
 
3DBrowser Online Help(中文)
Magixity Online Help(中文)
Nobeltec Visual Navigation Suite v7.0 1CD (电子航海图、导航及天候海象分析套件)
Orima v8.72 for Socet Set v5.2 1CD(定位软件)
TopoGrafix.ExpertGPS.v2.3.1 1CD(地图软件)
TransCAD.v4.5 Build 177 1CD(由美国Caliper公司开发的交通GIS软件)
 
CyberCity城市建模与景观可视化系统 用户手册(中文)
Cyberland遥感影响处理系统 用户手册(中文)
DEMix v3.0用户手册
VirtuoZo v3.2 全数字摄影测量系统 用户手册(中文)
VirtuoZo v3.5 用户手册(中文)
 
Vertical Mapper 3.0(用于分析空间上连续数据的趋势,可以生成渲染效果的图象(grid),也可以生成3D(三维)效果图;
                    Vertical Mapper可以在嵌在MapInfo Pro.中运行,也可以配合MapInfo MapX运行。前者直接
                    使用MapInfo Pro中的Vertical Mapper菜单,后者需要使用Vertical Mapper SDK进行开发)
WaSP.Map.Editor.v8.3 1CD(地图编辑器)
瑞得数字测图4.5 1CD
数字化地形地籍成图软件CASS 5.1 标准版
中地地理信息系统MapGIS 6.6 1CD
MapGis操作手册(上、中、下)(中文)
MapGis二次开发培训教程(中文)
易画中国电子地图 v3.1 1CD(最新的各省区,市,镇,乡地图,可任意放大缩小。可测量二地之间的距离和最佳公路
                        或铁路路线(车次、经由车站、转车路线、发到站时间,应有尽有))
 
-+地质学及勘测软件+-:
~~~~~~~~~~~~~~~~~~~~
   Hampson-Russell产品:
Hampson.Russell.CE v7.R4 1CD(CFD软件,用于AVO技术岩性与流体分析检测、地震反演、油藏属性参数预测、测井)
Hampson.Russell.CE.v7.R4.Working.Linux 1CD
 
INGLES产品:
Plaxis Professional v8.2 -ISO 1CD(完全安装版,好用)
 
软脑公司产品:
3D-Sigma for WinALL-ISO 1CD(岩土体三维应力分析软件)
2D-Sigma for Win98 1CD(岩土体 二维应力分析软件)
 
ITASCA产品:
3DEC v4.0 1CD(分析与仿真岩石的材料在半静态或动载荷下的性能的软件, 主要用于地理勘探, 土木建筑, 采矿等行业)
3DEC v3.0 用户手册
 
Flac2D v4.0.257 1CD
 
Flac3D v3.0.261 1CD(完全安装版,功能强大的岩土分析软件)
Flac3D v3.0 用户手册 (英文)
 
FLAC v5.0.355 1CD(完全安装版)
Flac v5.0 用户手册
FLAC Training 05-ISO 1CD
Flac 程序使用手册
Flac 讲义
 
PFC2D v3.10.234 1CD(完全安装版,对任意尺寸圆形粒状物分析与建摸的有限元分析软件,主要用于材料科学等的研究领域)
PFC2D v3.10 用户手册
 
PFC3D v3.0.196 1CD(有限元分析软件, 主要用于地理勘探, 土木建筑, 采矿等行业)
PFC3D v3.0 用户手册
 
UDEC v4.00.153 1CD(完全安装版)
UDEC v4.00.153 用户手册
 
Seep3D Demo
Seep3D 用户手册
 
PROKON产品:
Prokon CalcPad v2.1.09 1CD(地质工程的结构分析及设计软件)
 
XP Software Inc.产品:
XP.SWMM.V9.5-ISO 1CD(软件提供所有主要的水文学理论来预测暴雨流量、污水渗透和旱天流量。软件提供复杂流体
                     网络设计的快速分析,包括环路、潮汐流、水利结构、校准随时间变化的边界条件等等)
 
WHI系列:
Whi Visual ModFlow Pro v3.1 1CD(模拟地下水流污染的软件)
 
OYO Corporation产品:
SeisImager.Pickwin.v3.14.with.Plotrefa.v2.73-ISO 1CD(科学/地震勘查软件,运行在Geometrics地震仪或PC上)
Engenious Systems Inc StormShed2G v7.0.0.10 1CD(地理模型工具,能够建立水文地理模型,计算排水区域的水位)
 
Rockware产品:
Rockware.AqQA.v1.0 1CD(流水分析软件,提供优秀的图像显示功能和强大的数据分析功能)
RockWare.LogPlot.2005.v6.1.23 1CD(具有无限灵活性的钻探记录测绘软件)
RockWare.RockPack.III.v3.1 1CD(岩石倾斜度的分析软件,适用于公路的修建,采矿业)
RockWare.RockWorks 2004.v6.1.10 1CD(可以创建钻探记录、横截面、栅栏图、可靠模型(矿体、储油器)地层模
                                    型和表面、等高线图和地形模型、立体图、玫瑰图、三重图表等的软件)
Rockware.RockWorks v2004 用户手册
Rockware.LogPlot v2003 用户手册
 
Geotomo Software产品:
Res2Dinv v3.55.35 1CD(是一款高密度电法处理软件,对于地质情况比较复杂的区域,现在广泛采用二维的电子图像进行勘查)
Res3Dinv v2.15f 1CD(地质学软件,利用地表的二维数字测量数据建立地表以下的三维模型)
 
GoCAD v2.0.8 1CD(三维地质建模软件系统)
GoCAD v2.0.8 Linux 1CD
 
Z_Soil2D v6.13 1CD(地工分析软件)
Z_Soil3D v6.13 1CD
 
Starpoint.MohrView.Unicode.v3.0.0.0 1CD(土木工程类分析软件,可以生成高质量的摩尔圆及库仑破坏包络线)
WinKarst.v12.2.WinALL(岩洞研究和测量作图的软件. 它有三维立体显示测量数据的功能)
吉奥岩土工程勘察软件2005
 
Sivan Design产品:
Sivan Design CivilCAD 2004 v1.2  1CD
Sivan Design CivilCAD 2000 v7.6 1CD(应用在土木工程上,广泛应用在地质调查,道路和水渠设计和地形建模等)
 
GenStat产品:(一套非常著名的应用软件.用于地质堪探,地球物理堪探,地球化学分析,石油钻探,环境堪测等的数据处理)
GEOSoft Insitu v2003
GEOSoft Liquiter v2003
 
12D Solutions Pty Ltd产品:
12D MODEL V6.0-ISO  1CD(12d Model软件是用于公路、铁路、城市排水系统等规划设计的大型软件包,具有
                        强大的地面模型处理功能,目前国内还较少应用。在12 dModel软件中,可视化信息
                        主要以下列文件形式存在:*.model、*.tin、*.template、*.function。
                        这四类数据包含了工程数字模型的所有原始文件及计算输出结果。*.model即模块
                        文件,主要用于储存各种线条(string),包括等高线,各种二维线、三维线,公路
                        及施工场地定线,12d Model计算结果的剖面线、封闭线等。*.tin即三角网文件,
                        主要用于储存各种三维地表模型,12d Model中各种地形填挖计算都基于其上.
                        *.template即模板文件,主要用于存储各类地形填挖断面型式及填挖边坡。所有
                        参数可随时调整,并将有关项目重新计算。*.function即功能文件,主要用于应用
                        模板及界面参数选择并收集某些特定数据。当模板文件及其它一些数据和参数被修改时,
                        可以重新应用这些功能更新数据并得到新的结果。这就为得到经济合理的设计方案,提
                        供了强有力的手段.12d Model软件,可以从Auto CAD中读入三维地形图,也可直接
                        读取原始测绘点数据)
IES产品:
IES.PetroMod.v9.0.SP2 1CD(德国石油勘探模拟软件)
 
Sage产品:
Sage-Crisp v 4.3a 1CD(岩土工程有限元软件,可用于不排水、排水、以及固结计算。支持3维Biot分析,
                      对于基坑、堆载、桩基、隧道等问题可以得到很好的结果)
Comsol产品:
Comsol Multiphysics v3.2-ISO 4CD(计算机辅助工程分析)
Comsol FEMlab v3.1-ISO 3CD(基于MATLAB的2D有限元分析软件)
 
GeoCap AS产品:
GeoCAP v4.1.6 1CD(地理信息系统的多功能建模和显示的工具库, 主要用于石油及天然气勘探行业)
 
GEOSOLVE产品:
GeoSolve Slope v12.01 1CD(斜坡稳定度分析软件,也可用于分析土压力和承重能力问题)
GeoSolve Wallap v5.03 1CD(分析悬壁式和支撑式挡土墙的稳定度,也适于分析板桩墙和永久性工程如加强型混凝土墙等)
 
GEO-Slope产品:
GEO-Slope Geostudio 2004 v6.02 1CD(岩土工程软件)
GEO-Slope.Seep3D.v1.15 1CD(交互式的三维地下水渗漏分析建模软件,可以快速分析各种地下水流动问题)
GEO-Slope Vadose W v1.16 1CD(综合的环境、地表、参流区和地下水工程分析软件,可以分析流体边界问题)
GEO-Slope.Office.v5.18 1CD(是一套岩土工程CAD软件,包括斜坡稳定性分析、地下水渗漏分析、压力
                           和变形分析、有限元动态地震分析、污染传播分析、地热分析六款软件
                           包括了SLOPE/W、SEEP/W、SIGMA/W、QUAKE/W、CTRAN/W、TEMP/W)
OASys GEO v17.9.0 1CD(地质工程分析软件)
GEO-Slope Ofiice 用户手册
 
Geocentrix产品:
Geocentrix.ReActiv.Professional.v1.6.SR8 1CD
Geocentrix.Repute.v1.0.SR8 1CD
Geocentrix.ReWaRD.Professional.v2.5.SR14 1CD(专为工程师设计的最强有力和便于用户操作的拥有成套工具的防护墙设计软件)
 
GGU系列地质勘察应用软件:
GGU.Axpile v3.01 Bilingual 1CD (管道设计的分析软件,检测管道与地形的配合度 )
GGU.Axpile.v3.02.WinALL 1CD
GGU.Borelog.v4.14.WinAL 1CD(德国GGU-SoftWare出品的地质勘察,钻探系列软件中的一款工具,让你将钻探日志
                             按照德国DIN 4023标准输入输出,使用GGU-STRATIG 软件来读取,创建数据集。
                             支持彩色和位图输出)
GGU.CAD.v5.20.WinALL 1CD(用于编辑使用其他GGU程序创建的文件,支持德语和英语)
GGU.Consolidate.v3.00.WinALL 1CD(用来计算使用毛孔水压分布和依赖于时间加载的多地层系统中一维合并过程)
GGU.Directshear.v4.24.WinALL 1CD(用来计算和演示盒式剪切测试)
GGU.Drawdown.v3.00.WinAL 1CD(用来设计地下挖掘的多重井系统的最佳程序(数量,半径,井深度))
GGU.Footing.v4.24.WinALL 1CD(用来设计和模拟地基的破坏和沉降计算)
GGU.Gabion.v2.14.WinALL 1CD(用来设计和计算使用近似元素堆叠的堤墙)
GGU.GGUCad.v5.15.Bilingual 1CD
GGU.Labperm.v5.11.WinALL 1CD(用来计算和演示渗透性测试)
GGU.Latpile.v2.11.WinALL 1CD(用来使用矩阵方法计算弹性堆叠层)
GGU.Pumptest.v2.11 1CD
GGU.Plateload.v6.23.WinALL 1CD(用来按照DIN 18134来进行板级负载测试的分析和演示)
GGU.Retain.v4.30.WinALL 1CD(用来对各种墙体进行设计和结构分析)
GGU.Settle.v3.03.WinALL 1CD(用来进行地基沉降和相互作用计算)
GGU.Seep.v7.12 1CD(地质勘察应用软件,根据ATV A-138 标准进行地表水渗透设计)
GGU.SS.Flow.2D.v7.58.WinALL 1CD(用来使用FE分析在两维地下水系统中对持久地下水流动进行分析)
GGU.SS.Flow.3D.v3.04.WinALL 1CD(用来使用FE分析在三维地下水系统中对持久地下水流动进行分析)
GGU.Stability.v7.10.WinALL 1CD(用于研究坡身不稳圆形滑动面和多边形滑动面,还可用于土壤测量、土墙加固)
GGU.Stratig.v7.33.WinALL 1CD(用于演示钻孔配置,动态渗透测试,套筒,多种量度,压力深度和测量数据图标)
GGU.Time.Graph.v6.15.WinAL 1CD(用于管理和展示任何基于时间的野外勘测数据)
GGU.Transient.v4.10 1CD(地质勘察应用软件,用来瞬态计算地下流动水活动情况)
GGU.Trench v5.11 1CD(泥浆管道稳定性测试工程软件)
GGU.Triaxial.v3.13.WinALL 1CD(用于估计和图形展示土壤和岩石上的三维压缩测试)
GGU.Underpin.v3.16.WinALL 1CD(用于计算和设计支撑墙)
 
Rocscience产品:
Rocscience.Examine2D.v6.05 1CD(快速的二维间接边界条件和位移跳变的计算程序,用来计算岩石地下和地表挖掘的压力和位移)
Rocscience.Examine3D.v4.0994 1CD(岩石地下挖掘的工程分析软件,广泛的用在三维采矿和土木工程中)
Rocscience.ExamineTab.v2.14 1CD(三维的位移跳跃分析软件,用于计算挖掘过程中造成的偏平矿石体中的弹性压力和位移)
Rocscience.Phase2.v5.047 1CD(用来计算地下或地表挖掘中的压力和位移的2D有限元分析软件)
Rocscience.Phase2.v5.048.Update Only 1CD
Rocscience.RocData.v3.013 1CD(用来决定岩石或土壤的差分线性和非线性受力包络参数)
Rocscience.RocFall.v4.039 1CD(数据分析软件,用来对可能会造成岩崩的斜坡进行评估)
Rocscience.RocLab.v1.010.Retai 1CD(基于最新版本普遍的Hoek-Brown失败规则来测试岩体强壮参数的软件)
Rocscience.RocPlane.v2.029 1CD(平坦岩石坡度稳定性分析和实际的互动软件)
Rocscience.RocSupport.v3.002 1CD(在不坚硬的岩石环境下设计隧道或支撑系统时,评估其环状或接近环状的变形度的软件)
Rocscience Rocsupport v3.006 Update Only 1CD
Rocscience.Slide.v5.014 1CD(全面的、灵敏的坡度稳定性分析软件)
Rocscience Slide v5.021 Update Only 1CD
Rocscience.Swedge.v4.078 1CD(分析软件,用来评估几何体和在斜面上插入楔形物的稳定性)
Rocscience.Unwedge.v3.005 1CD(为地下挖掘设计的3D稳定性分析软件)
 
Delft.GeosysTems产品:
Delft.GeosysTems.DGPlume.v1.8.1.1(使用分析算法提供了易用的污染传播的模拟模型)
Delft.GeosysTems.GEFPlotTool.v4.1.1.4(力学相关软件,包括流体力学,岩土力学等)
Delft.GeosysTems.MDrill.v4.1.2.3(一款基于代码的管道设计专用软件,内部使用了水平方向打孔的方法)
Delft.GeosysTems.MFoundation.v4.7.1.7(建筑用计算工具软件,可用于承重桩,承拉桩和浅层地基的基于代码的设计和验证工作)
Delft.GeosysTems.MGeobase.v2.9.4.3(工程环境软件)
Delft.GeosysTems.MPile.v3.9.2.2(用来确定基桩在不同负荷下,包括桩之间的相互作用,的三维变形和影响)
Delft.GeosysTems.MSeep.v6.7.2.1
Delft.GeosysTems.MSettle.v7.1.3.2(快速准确的预测在额外的负荷情况下出现的暂时的土地沉降)
Delft.GeosysTems.MSheet.v6.1.2.13(打板桩可靠和快速设计的事实标准)
Delft.GeosysTems.MStab.v9.8.7.1(有关软性土壤倾斜稳定性的软件包)
Delft.GeosysTems.MWell.v2.8.4.4(排水工程软件)
Delft.GeosysTems.Watex.v3.1.2.1
 
Dimensional Solutions Inc. 产品(土壤、石油勘测、地层分析):
Dimsoln Combined 3D v3.8.0 1CD(通用的扩展式基脚和联合基脚分析设计工具)
Dimsoln Dsanchor v2.5.2 1CD(准确快捷的设计出安全的混凝土地基的固定墩(锚碇))
Dimsoln Foundation 3D v3.8.8 1CD(可扩展的通用的底脚分析设计工具)
Dimsoln MAT 3D v3.8.8 1CD(通用多墩地基设计分析软件)
Dimsoln Shaft 3D v2.5 1CD(基柱设计软件,能在几秒钟内完成一个基柱设计工作,已知的桥墩、沉箱的相关参数资料)
 
INPHO产品:
Inpho.DtMaster.v1.0.0 1CD
Inpho.Orthovista.v4.0.2 1CD
 
Carlson Software产品:
Carlson.CGSurvey.v7.1.0.5 1CD( 整合了测绘行业中最卓越的两个软件,是一款对于陆地测量员来说不可放过的强大工具)
 
SProcess产品:
SProcess v1.31.123 1CD(是一个配合Reflex生产的土地测量工具所使用的软件)
 
GAEA产品:
GAEA Pollute v7.061 1CD(经过实践证明的污染物运动分析程序,被广泛用于垃圾填埋设计和环境补救领域)
GAEA Winlog v4.34 1CD(创建钻井日志和测井曲线的工具)
 
Fugro-Jason, Inc.产品:
Cempro.v3.2.1.WinALL 1CD(优化钻井液浆替换法软件)
PowerLog.v2.61a 1CD(专业的油井勘探记录分析工具)
Tadpro.v3.2.1.WinALL 1CD(计算钻井平台各种施工如扭距,拖拉,钻孔的参数,防止危险事故发生)
 
CPSL产品:
CPSL.TimeTrek.v4.2.5 1CD(大陆板块模拟运动软件)
 
生物软件:
Greenworks产品:
Greenworks XFrog v3.5.051204 1CD(用于有机体建模及动画的3D软件)
Greenworks XFrogTune v1.0.140302 1CD(适用于Cinema 4D的插件。用以制作生物以及其动态效果)
GreenWorks XFrog v4.2 for Cinema4D 1CD(用来创建有机类组织结构的3D软件)
Greenworks Xfrog v4.2.2 for C4D 9 1CD(世界上唯一一个可以方便地表现植物生长的软件)
GreenWorks.Xfrog.v4.for.Maya.v6 1CD(世界上唯一一个可以方便地表现植物生长的软件,这是maya6的版本)
 
Array.Designer.v4.12 1CD(一个独特的微阵列软件,能设计出CDNA的引物和寡核苷酸微阵列)
Array.Designer.v4.0.Linux 1CD
Axon.Laboratory.AcuityXpress.v1.0.0.26 1CD(企业级的生物信息学软件,主要用于微阵列的应用)
Axon.Laboratory.GenePix.Pro.v6.0.1.09 1CD(对DNA微阵列,组织微阵列和细胞微阵列进行图像分析的软件)
Beacon.Designer.v4.0 1CD(生物软体,实时萤光定量PCR分子信标(Molecularbeacon )及TaqMan探针设计软体)
Bitplane Imaris v4.0.6 for WinNT_2K_XP 1CD(三维细胞结构生物显微影像分析处理软件。可输出
                                           普通的TIFF格式、QuickTime和AVI格式)
Szybki.v1.1 1CD(化学分子结构和蛋白质结构优化工具) 
MolSoft ICM-Pro v3.4-3 1CD(观看和编辑生物分子3D微观立体结构的软件)
Ubi Visual Cloning v3.0 1CD(用于基因研究的可视化组织工具)
Vector NTI Advance 9.1 1CD(生物科学工程)
                               
-+光栅矢量+-:
~~~~~~~~~~~~~~
AlgoLab Raster to Vector Conversion Toolkit v2.77 1CD(制图格式转化软件,将建筑,机械和各种工程
                                                      制图从光栅格式转化成矢量格式)
Easy DWG DXF to Image Converter v2.1 1CD(提供CAD软件的DWG、DXF矢量文件到位图文件的转换能力)
R2V v5.5 Datecode.040716 1CD(是一款销量名列世界前三甲的高级光栅图矢量化软件系统,适合于GIS、地形图、CAD及科学计算等)
Rastervect v5.8  1CD (扫描仪矢量化软件) 解密版
VPStudio6.75 中文版 1CD
 
-+数据接口及转换软件+-
~~~~~~~~~~~~~~~~~~~~~~~
   CADCAM-E产品:
CADCAM-E.CAT5/Edge.v1.1.WinNT_2K 1CD(CATIA到Sold Edge的转换工具)
CADCAM-E.CAT5/Ug.v2.0.WinNT_2K 1CD(Unigraphics/CATIA V5之间的数据转换产品)
CADCAM-E Cat5/Works v2.2 1CD(双向的solidworks/CATIA V5数据转换器)
CADCAM-E.IGES/Cat.v9.0.WinNT_2K 1CD(转换CATIA文件到IGES文件,与所有支持IGES的CAD兼容)
CADCAM-E.IGES/Cat5.v3.1.WinNT_2K 1CD
CADCAM-E.IGES/Pro.v3.2.WinNT_2K 1CD(转换Pro/ENGINEER到Wildfire 2.0 IGES 5.2兼容格式文件)
CADCAM-E.IGES/Ug.v7.0.WinNT_2K 1CD(转换压缩和未压缩的Unigraphics files文件到IGES模块)
CADCAM-E.MC/Cat5.v4.0.WinNT_2K 1CD
CADCAM-E PS/Cat v3.0 1CD(把原始的CATIA V4数据文件转换到兼容的Parasolid 格式)
CADCAM-E.PS/Cat5.v3.0.WinNT_2K 1CD(原始的CATIA V4数据文件转换到兼容的Parasolid 格式)
CADCAM-E.PS/Pro.v4.0.WinNT_2K 1CD
CADCAM-E.STEP/Cat5.v2.0.WinNT_2K 1CD
CADCAM-E.UG/Works.v3.1.WinNT_2K 1CD
 
CADCAM-E Cat4Works v4.2 1CD(一款双向的solidworks/CATIA V4数据转换器)
 
-+科学应用+-
~~~~~~~~~~~~~
VMware.ESX.Server.V2.5-ISO 1CD(具有高扩展性的虚机平台)
 
编译器:
Absoft.Pro.Fortran.v7.5.for.Linux.X86 1CD
ABSoft Pro FortranMP7 1CD
CoDeveloper.Universal.v2.10.E.3 1CD(FPGAs或者给予FPGA的高性能计算平台的C语言开发系统)
IMSL.Libraries.v4.0.AddOn.for.ABSoft.Pro.FortranMP.v7.0 1CD
Liberty.BASIC.Workshop.v4.8.0 1CD(Liberty BASIC语言的完整开发环境)
VAST F.Parallel.v1.0.AddOn.for.ABSoft.Pro.FortranMP.v7.0 1CD
C30 Release 1.20.00 1CD
CodeVisionAVR v1.24.5 1CD
Compaq Visual Fortran Professional v6.5-ISO 1CD
EhLib.v3.5.Delphi.BCB.Retail 1CD
Eclipse Platform v3.10 1CD
 
Hi-Tech dsPicc v9.50 1CD
Hi-Tech Picc v9.50 1CD
HI-Tech.Picc.v8.05 PL2.WinALL 1CD(高效的C编译器)
HI-Tech PICC18 v8.30 Full 1CD(hi-tech编译器)
Hi-Tech PICC Answer 1CD
Hi-Picc 英文用户手册
 
Understand.for.Ada.v1.4.344 1CD
Understand.for.C.Plus.Plus.v1.4.344 1CD
Understand.for.C.plus.plus.v1.4.344.LINUX 1CD
Understand.for.Delphi.v1.4.344 1CD
Understand.for.Delphi.v1.4.344.LINUX 1CD
Understand for Fortran v1.4.344 1CD(针对 Fortran 语言的互动集成开发环境)
Understand.for.Fortran.v1.4.344.LINUX 1CD
Understand.for.Java.v1.4.344 1CD
Understand.for.Java.v1.4.344.LINUX 1CD
Understand.for.Jovial.v1.4.344 1CD
Understand.for.Jovial.v1.4.344.LINUX 1CD
 
Intel Fortran Compiler Pro With Imsl v8.0.048-ISO 1CD(Intel推出了其编译器产品的8.0版本。该编译器包括
                       Intel C++,Fortran编译器,支持Windows和Linux;C++编译器,支持Windows CE .NET)
Intel.C.Plus.Plus.Compiler.v9.0.028-ISO 1CD(支持最新的多核处理器)
Intel.Visual.Fortran.Compiler.Pro.v9.0.028-ISO 1CD
PGI.WorkStation.v5.2 1CD
Meta Cut Utilities v3.0 1CD(数控加工中心(CNC)的G代码分析和编辑工具)
ModelMaker.Code.Explorer.v4.05 1CD(专为Delphi设计的UML图形工具和Delphi Case工具)
My.Eclipse.EnterPrise.WorkBench.v3.6.2-ISO 1CD
NexusDB.Developer.Edition.v2.03.Delphi.BCB.Retail 1CD(适用于delphi和BCB的高速C/S结构数据库开发组件)
Renesas High-Performance Embedded WorkShop V3.1 1CD(一款面向RENESAS产品的嵌入式开发环境而设计的IDE(集成
                                                    开发环境),可确保应用程序开发过程的易用且有效)
Retail.ICE.v7.0-ISO 1CD
ProDelphi.Professional.v17.5 1CD
 
PGI.Workstation.v6.0.8 1CD(并行Fortran,C 和C++的编译器和工具)
PGI.Server.v6.0.8.Linux 1CD
 
Source.Insight.v3.5-ISO 1CD
UTS TK Solver v5.00 1CD(完美的逆向工程、数学模型及编程软件。用于对数学模型工具进行计算、设计、检测及除错,
                        不仅适用于工程师、科学家或技术人员,也可适于金融分析师、战略规划师、统计员等)
Virtutech.Simics.v1.6.10.Win9X_NT-ISO 1CD
 
X-HDL v3.2.55 1CD(VHDL/Verilog语言翻译器。可实现VHDL和Verilog语言的相互智能化转化)
X-HDL v3.2.55 Linux 1CD
X-HDL v3.2.55 Solaris 1CD
X-HDL v3.2.44 Verilog and VHDL Convertor 1CD
 
松下PFWIN GR v1.1 for Windows 1CD
  
TGS产品:
TGS Amira v3.11 1CD(amira对于三维资料的处理如生物学与工程学等提供了极好的解决方案)
TGS Amira v3.11 for VC7 1CD
TGS Open Inventor Java v5.0 1CD(世界上最被广泛使用的对象导向绘图软件开发接口(API))
TGS Open Inventor v5.0 for VC NET2002 1CD
TGS Open InVentor v5.0 for VC NET2003 1CD
TGS Open InVentor v5.0 for VC6 1CD
 
Accelrys产品:
Accelrys Materials Studio Modeling v4.0 1CD(为材料科学模拟所设计,能方便的建立3D分子模型,深入分
                                             析有机、无机晶体、无定形材料以及聚合物,可以在催化剂、
                                             聚合物、固体化学、结晶学、晶粉衍射以及材料特性等材料
                                             科学研究领域进行性质预测、聚合物建模和X射线衍射模拟)
Accelrys.Materials.Studio.v3.1 Windows 1CD
Accelrys Materials Studio v3.01 Llinux 1CD
 
OriginLab产品:
Originpro.v7.5-ISO 1CD(专业的科学图表开发和分析工具)
 
MacKichan产品:
CutMaster 2D Lite v1.3.2.4 1CD
DPlot.v2.0.4.1 1CD(用图形表示和处理来自于多种不同形式数据源的2D、3D数据)
FlexPDE.Professional.3D.v5.0.9 1CD(偏微分三维有限元计算软件)
FlexPDE.Professional.3D.v5.0.9.Linux 1CD
Golden.Software.Surfer.v7.0 1CD
MDSolids.v3.1.0 1CD(优秀的材料力学教学辅助软件)
 
Tecplot.v10.0.6 1CD(分析结果视觉化功能最強大的软件)
Tecplot v9.2 Linux 1CD
Tecplot 中文使用说明
Tecplot 实战
 
Thomson.EndNote.v8.0.1-ISO 1CD(专业参考文献查询软件,可在线查找Ineternet上的各种文献数据库,将查找到的
                               资料保存入本地数据库,并自动生成格式化的参考文献清单插入各种文字处理软件)
SmartDraw.Suite.Edition.v7.0.Retail 1CD
SmartDraw Professional Plus 6.08
 
-+宿主软件+-
~~~~~~~~~~~~~
HUMMINGBIRD产品:
Exceed 10.0+ 3D 10.0 WinAll(销售最好和性能最高的PC X服务器,结合了PC和X 计算环境)
Exceed 3D v11.0 2006-ISO 1CD(销售最好和性能最高的PC X服务器,结合了PC和X 计算环境)
Exceed PowerSuite v11.0 2006-ISO 1CD(软件包是企业用户用于连接企业网络中类型繁多的现有系统的工具)
ExXceed PowerSuite 2006 v11.0 MultiLanguage X64 1CD
 
数学及统计软件:
Mathworks.Matlab.R2006a-ISO 3CD(辅助设计最新完全版,包括 MATLAB FOR Windows x64)
Mathworks Matlab v7.01 R14 SP3-ISO 3CD
Minitab.v14.SUB100-ISO 1CD
 
Waterloo.Maple.8-ISO 1CD(用于解决微积分、线性代数和微分方程等高等数学问题的软件包)
 
Flexlm SDK v7.2A 1CD
Functor.v2.9 1CD(一款数学/科学软件,允许你创建二元函数Z=f(x,y)的图像,可以将图像导出为位图或者VRML中的3D对象)
 
Gambit.MIMIC.Simulator.Suite.v7.11(虚拟网络实验室)
Gambit.MIMIC.Simulator.Suite.7.11.Linux
Gambit.MIMIC.Virtual.Lab.CCNA.1.11
Gambit.MIMIC.Virtual.Lab.CCNA.1.11.Linux
 
GraphingCalc v1.35 1CD(一款数学图形生成工具,可以通过数学公式生成2D或3D的数学图形,是学习代数、三角和微积分等课程的理想工具)
MathCAD.13.0.Enterprise.Edition-ISO 1CD(工程計算)
MechCAD AceMoney v3.4.2  1CD
MapleSoft Maple v9.5 Hybrid-ISO 1CD(微积分、线性代数和微分方程等高等数学使用的软件包)
Maplesoft.Maple.v10.03.Update.Only 1CD
MLAB v1.0 datecode 20040609 1CD(一个高级的数学和统计建模系统,可运行在各种平台上)
Matrices Solver Platinum 2004 v1.0.0 1CD(可运算矩阵中的相关事物的软件)
MVSP v3.13n(多变量统计分析软件包)
SciFace.MuPAD.Pro.v3.0 1CD(开放式计算机代数系统,可进行符号和数字计算)
Systat Systat v11.0 1CD(使用统计学和丰富图表的分析软件,显示2D/3D图形,结果可用对数与指数显示或旋转转换)
SigmaPlot 2002 v8.0 1CD(
S-plus2000 1CD
S-Plus v6.2 1CD
SPSS.v14.0 1CD 
SPSS TableCurve 2D v5.0 1CD
Golden.Software.Grapher.v4.00 1CD
Golden.Software.Grapher.v4.00 中文教程

--------------------------------------------------------------------------------
公司服务电话:51695201、51695202 51069446
  
公司客服邮箱: crack2008@eyou.com  (咨询软件请直接通过EMAIL或则电话联系我公司)
--------------------------------------------------------------------------------

 

posted on 2006-06-23 22:35 hhhbb 阅读(6864) 评论(3)  编辑 收藏 引用 网摘

评论

# re: ▆◣全球最新最全的CAD/CAE/CAM/CFD/GIS/CFX/EDA等软件超级共享(第二部分)  回复  更多评论   

◢︻◣CAD/CAX/EDA/CFD/GIS/光学/化工/液压/仿真软件共享网

--------------------------------------------------------------------------------
1.注册机制做,一次制作无限使用.
2.快速制作各种软硬件加密,各类加密狗破解、微狗破解
3.承接加密狗复制服务,提供加密狗改版服务,包括增加模块、增加网络节点、修改版本标识等等

服务信箱EMAIL:softkuu@eyou.com
服务信箱EMAIL:softkuu@eyou.com (咨询请直接EMAIL或则电话联系我公司)
--------------------------------------------------------------------------------
PKPM系列S1、S2、S3、S4、S5、STS 、PMSAP 、APM
Rockey5智能复制锁,原盘安装支持网上升级,支持和正版狗互换使用!!
施工管理系列软件:CMIS SG-1
施工技术系列软件::CMIS SG-2
工程造价概预算软件:PKPM CMIS
工程造价软件:STAT
设备 HPM 建筑采暧设计软件
设备 EPM 建筑电气设计软件
设备 CPM 建筑通风空调软件
设备 WNET室外给排水设计软件
设备 WPM 建筑给排水设计软件
建筑装修设计三维建模软件DEC最新版
staadchina2004全模块
STAAD/Pro\Stardyne\FEMkit\Visual DRAW CAD\SSDD
PFCAD门式钢架设计
TBSA6.0建科院高层设计
STR2000(结构设计)
mts 5.0(同济大学开发)
SAP2000 最新中文版(中国规范)
广厦结构设计CAD 10.0
ETABS 结构软件/ETABS中英文说明书
GTSTRUDL
sascad
msgs
sfcad2005
gassspace
robotR15
PS2000 6.02
MSTCAD 2005企业版
Xsteel 11.2
3d3s8.0 全套 (包括钢结构,网架,塔架,幕墙,索膜,非线性等7个模块)
迈达斯midas全模块(Midas.Gen/Civil/SDS/FEmodeler)
武汉恒通 BriCAD桥梁绘图6.0
弯、坡、斜箱梁桥施工图设计系统
东南大学软土路基、混凝土路面、沥青路面设计系统
SLCAD架空送电线路平断面处理及定位CAD系统
STACH-3 隧道数值模拟系统
同济启明星:
Pile2000 版
天然地基沉降计算软件 SCSF
土钉墙专用分析计算软件 Nail
边坡稳定分析计算软件 Slope
深基坑支挡结构分析计算软件 FRWS
深基坑内支撑结构分析计算软件 BSC
深基坑内支撑结构分析计算软件 GRW-f
博超规划总图PPS
博超给排水设计软件GPS
博超电气工程设计软件EES
博超暖通空调设计软件ACS
博超电力工程设计软件POWER2000
浩辰
INTER-NT 暖通设计软件
INTER-GP 给排水设计软件
INTER-EP 大型电力设计软件
INTER-DQ 双平台电气工程设计软件
建筑门窗设计系统(南京)
富友塑钢门窗设计系统2000
内江百科CAD系统 最新版
福建省土建工程预结算软件
YSTB2000 最新版
青岛莱福一点通预算 7.0
同济曙光岩土及地下工程设计与施工分析软件
上海预算之星系列(包括土建、房修、园林、装饰、市政等等)
中望智能设计师RDMAX5.2
中望景园设计软件 JYMAX1.5
中望家具设计系统 FMAX4.3
中望CAD2005
中望家具接单大师4.0
中望厨博士3.5
园方接单大师
圆方装修精算盘4.0
园方家具设计软件6.0
园方家具销售预知系统
圆方橱柜销售及设计系统3.0
圆方办公家具招标设计软件
园方室内外装修设计软件9.0版
圆方室内设计系统9.0/10.0/TPM3000/TPM5000(推荐)
金友通用酒店宾馆/餐饮娱乐/桑拿洗浴/服务业综合业务管理系统 V9.02
中地Mapgis7.0
南方CASS7.0
威远图 全套
数字化地形地籍成图软件 CASS 7.0
房产信息系统(测绘版) SHIS for SM 及各地方版本
SUPERMAP 超图地理信息系统 全模块
清华山维 EPS2003 全套
武汉适普VirtuoZo3.7
吉威数源Geoway数据加工平台 3.5
北京灵图VRMap2.x
Arcgis9.X完美版 6CD
2006-07-23 20:52 | vvvff

# re: ▆◣全球最新最全的CAD/CAE/CAM/CFD/GIS/CFX/EDA等软件超级共享(第二部分)  回复  更多评论   

add
2007-01-01 10:57 | steveyts

# re: ▆◣全球最新最全的CAD/CAE/CAM/CFD/GIS/CFX/EDA等软件超级共享(第二部分)  回复  更多评论   

163软件园网址 http://www.soft163.cn(支持货到付款,购买零风险)
免费破解下载站网址 http://down.soft163.cn
联系电话:0571-28851163 QQ:2605605 2293232
<b>全面支持支付宝付款,买家收到满意后才付款,真正安心!</b>

道路软件

CARD/1中文版
鸿业土方计算设计软件HY-ECS
鸿业道路交叉口设计软件HY-JCK
鸿业工业总图设计软件HY-FPS
涵洞大师2004
恒通挡土墙
鸿业软件一狗通,强烈推荐!
dicad pro2005
海特PCVX
纬地道路实时漫游系统2.0
公路路线与互通立交CAD集成系统BID-Road2000
公路数字地面模型系统BID-Land2000
公路涵洞CAD系统Culvert
铁路涵洞CAD系统TLHD2004XP
超级涵洞设计系统(shcd2005xp)
纬地挡土墙设计系统
路线大师2004
鸿业市政管线
鸿业SZDL市政道路
HEAD2000
HPDS2003路面计算程序
EICAD-DTM
EICAD(含3d、平交口)
纬地土石方调配
纬地道路数模版
海地道路设计系统2006F、2007版

桥梁软件

midasFX+有限元网络划分前处理软件
桥梁通7.**(硬件复制,支持升级)
QJX
桥梁大师2005
midas/civil2006(官方正式版)
孙广华曲线梁计算CurveBridge
交通部科研所GQJS\QXCAD\bridge3d\QLJC\JFDJ五合一
公路桥梁结构设计系统GQJS
桥梁设计集成CAD系统BID-Bridge2000
桥梁综合计算程序BrgCal
桥梁桩基础计算程序Pile2000
桥梁墩台帽梁计算程序
简支梁计算程序SSBIQ
桥梁博士
桥梁水文计算程序Hydro

结构计算

pkpm2006结构钢结构全套(红锁,无驱,和正版直接互换)
百科幕墙BKCADPM2005
midas SDS
探索者TSSD2006
理正工程设计工具箱全套
MTS5.03
Midas/GTS
midas/gen
铁塔基础优化设计软件(TFOD2000)
广夏建筑结构CAD系统
mst2005企业版
TUS2002多层及高层空间结构一体化设计系统
ps2000V6.02
sfcad2005
3D3S8.0全模块
TBSA 6.0
pkpm2006结构钢结构全套(银白锁,有驱)

项目管理

海文投标组合(平面、标书、网络计划、钢筋)
武汉中海威科技公路工程资料管理验收系统
南京未来标书制作系统
一洲市政2005版
一洲安全标准版
一洲安全智能2005版
智通系列软件
智通项目经理
纵横师友现场资料管理软件
纵横师友标书制作软件2005版
四川建龙资料管理系统2006
四川建龙平面图04版
四川建龙工程网络计划管理
监理大师-水利版
监理大师-建筑版
安泰德公路资料管理系统
清华斯维尔职业卫生安全信息管理系统2004
清华斯维尔合同管理CM2004
清华斯维尔环境监测系统2004
清华斯维尔材料管理MM2005
清华斯维尔项目管理PM2004
清华斯维尔平面布图ID2004
清华斯维尔建筑工程标书编制软件2004
品茗资料、标书、网络计划、脚手架四合一
世纪胜算三剑客标书、网络图、平面图
智通施工组织设计集成系统(含标书、平面图)
上海天仁三合一
pkpm施工管理、施工技术全模块
广东省建筑工程竣工验收技术资料统一用表
广东华软安全验收资料2005
同望公路工程项目管理3.10
同望标书制作承包商版

算量造价

广联达清单整体解决方案(全国均有,新版深思4锁)
神机妙算黄狗(全国各地新版造价+四维算量)
海文建设清单造价管理系统2006、2004、2003(广东全专业)
博奥CAD工程量、钢筋二合一
博奥消耗量定额6.0全系列
天津市建设工程计价系统Ver2004
广西博奥工程量企业版6.0
辽宁泰姿预算软件2004
山东蓝博系列软件
湖南建设之星2004预算、清单系统
山东英特造价、清单管理系统
四川宏业清单计价大师 (全专业)
广西博奥预算全系列(18选12)
西安易达清单计价大师2004
江苏正元全系列(造价全专业、清单全专业、资料)
世纪胜算钢筋翻样
世纪胜算造价、清单管理系统
天津铁路概预算编制系统2.2
湖北经典全系列(清单、造价、工程量、标书、平面)
浩元预算之舟造价管理系统
重庆浩元造价管理清单专版2.0
纵横师友配电低压线路计价软件
纵横师友98版套价软件
纵横师友钢筋计算软件
纵横师友建筑工程量计算软件
纵横师友计价软件922版
纵横师友计价软件2005版
冬莲清单造价管理系统(江苏、浙江、上海)
科瑞KERY工程预算软件
青山预算之星(安徽版、重庆版、四川版、山东版)
青山清单之星(安徽版、重庆版、四川版、山东版)
赛德造价钻石版+赛德清单王江苏版
胜通-建设工程造价管理系统2006
必佳预算2005(全专业)
必佳清单2005(全专业)
青山北科钢筋工程量
智通钢筋工程量
智通造价6.7(定制各地定额专业)
日月CE2003公路造价管理系统
广东殷雷预算电力工程概预算2004v5.04 高压电力
广东殷雷预算电力配网v5.05
广东华微工程预算软件
厦门海迈预结算全专业
海迈清单2006
海巍实物量法造价分析系统
福建五星预算2005
福建预算通工程量清单综合计价软件
山东福莱8.3
同望EasyMeasure路基土石方计算软件
浙江创佳全系列(预算、清单、资料)
广东易达清单大师 [国标广东]V4.5
必佳工程量、钢筋二合一
南京未来软件清单、预算系统
一点智慧建筑软件全系列
智通计价大师8.0(定制各地定额专业)
北京金润系列软件
清华斯维尔定额编制排版2003标准版
清华斯维尔指标分析2003
清华斯维尔算量造价组合地方版
福建晨曦2004全系列
广东殷雷预算、清单、钢筋工程量三合一
上海兴安全套
晨曦清单计价2005、钢筋、工程量计算三合一
pkpm工程量、钢筋、清单三合一(各省定额库)
浙江广达03、94版
同望公路工程造价管理系统7.3.1
鲁班算量(土建、安装)钢筋(下料、预算)全套
广联达正版壳绿锁(钢筋,算量,清单,企业定额等全套)
神机妙算造价、钢筋、算量全套(红狗)

建筑设计

鸿业日照整体方案1.0
天正建筑节能分析软件TBEC
优思橱柜设计系统
圆方室内设计系统TPM5000
圆方室内设计系统TPM3000
建筑节能 (CHEC)
装修设计三维建模软件(DEC)
图圣园林设计系统4.01加强版
清华斯维尔节能设计BESC2006
中望CAD2005
成捷讯软件五合一
清华斯维尔设备设计Mech2006
清华斯维尔建筑设计TH-Arch2006
RDMAX 4.5
中望景园
接单大帅
浩辰ICAD平台系列软件
abd7.0
三维建筑设计软件APM2006
理正给排水、设备设计软件包
理正建筑V3.2
理正电气V3.2
鸿业给排水设计GPS9.0
鸿业ACS采暖空调5.2/6.0

勘察岩土

同济启明星NAIL-土钉墙支护专用软件
同济启明星GRW-f 深基坑复合重力式挡墙分析计算软件
同济启明星PILE2005-桩基础设计计算通用软件
同济启明星FRWS-深基坑支挡结构分析计算软件
武汉天汉2005
同济曙光全套
公路地质CAD系统
理正岩土工程系列所有15个模块5.11
理正深基坑支护5.3
理正工程地质勘察6.81
理正基础cad2.4版
理正桩基2.8
理正人防工程结构设计2.7




2007-02-03 16:11 | 5555

只有注册用户登录后才能发表评论。